0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Xilinx快速傅立叶变换接口及仿真测试实验设计

454398 来源:FPGA开源工作室微信公众号 作者:FPGA开源工作室微信 2020-09-28 10:41 次阅读

1 xilinx FFT IP介绍

Xilinx快速傅立叶变换(FFT IP)内核实现了Cooley-Tukey FFT算法,这是一种计算有效的方法,用于计算离散傅立叶变换(DFT)。

1)正向和反向复数FFT,运行时间可配置。

2)变换大小N = 2m,m = 3 – 16

3)数据采样精度bx = 8 – 34

4)相位系数精度bw = 8 – 34

5)算术类型:

  • °无标度(全精度)定点
  • °定标定点
  • °浮点数

6)定点或浮点接口

7)蝴蝶后舍入或截断

8)Block RAM或分布式RAM,用于数据和相位因子存储

9)可选的运行时可配置转换点大小

10)可扩展的定点核心的运行时可配置扩展时间表

11)位/数字反转或自然输出顺序

12)用于数字通信系统的可选循环前缀插入

13)四种架构在内核大小和转换时间之间进行权衡

14)位精确的C模型和用于系统建模的MEX功能可供下载

15)有四种运算架构可供选择

  • .Pipelined Streaming I/O
  • .Radix-4 Burst I/O
  • .Radix-2 Burst I/O
  • .Radix-2 Lite Burst I/O

2 FFT IP接口介绍

图1 xilinx FFT IP

1)AXI4-Stream 介绍

AXI4-Stream接口带来了标准化,并增强了Xilinx IP LogiCORE解决方案的互操作性。除了诸如aclk,acclken和aresetn之类的常规控制信号以及事件信号之外,到内核的所有输入和输出都通过AXI4-Stream通道进行传输。通道始终由TVALID和TDATA以及必填字段和可选字段(如TREADY,TUSER和TLAST)组成。TVALID和TREADY一起执行握手以传输消息,其中有效负载为TDATA,TUSER和TLAST。内核对包含在TDATA字段中的操作数进行运算,并将结果输出到输出通道的TDATA字段中。

图2 AXI4-Stream时序图

图2显示了在AXI4-Stream通道中的数据传输。TVALID由通道的源(主)端驱动,而TREADY由接收器(从属)驱动。TVALID指示有效负载字段(TDATA,TUSER和TLAST)中的值有效。TREADY表示从机已准备好接收数据。当一个周期中的TVALID和TREADY均为TRUE时,将发生传输。主机和从机分别为下一次传输分别设置TVALID和TREADY。

2)s_axis_config_tdata接口介绍

s_axis_config_tdata接口携带配置信息CP_LEN,FWD / INV,NFFT和SCALE_SCH。

NFFT(变换的点大小):NFFT可以是最大变换的大小或任何较小的点大小。例如,1024点FFT可以计算点大小1024、512、256等。NFFT的值为log2(点大小)。该字段仅在运行时可配置的转换点大小时出现。

CP_LEN(循环前缀长度):从转换结束起,在输出整个转换之前,最初作为循环前缀输出的样本数。CP_LEN可以是小于点大小的从零到一的任何数字。该字段仅在循环前缀插入时出现。

FWD_INV:指示是执行前向FFT变换还是逆向FFT变换(IFFT)。当FWD_INV = 1时,将计算前向变换。如果FWD_INV = 0,则计算逆变换。

SCALE_SCH伸缩时间表:对于突发I / O架构,伸缩时间表由每个阶段的两位指定,第一阶段的伸缩由两个LSB给出。缩放比例可以指定为3、2、1或0,代表要移位的位数。N = 1024,Radix-4 Burst I / O的示例缩放计划是[1 0 2 3 2](从最后阶段到第一阶段排序)。对于N = 128,Radix-2 Burst I / O或Radix-2 Lite Burst I / O,一个可能的扩展时间表是[1 1 1 1 0 1 2](从最后阶段到第一阶段排序)。对于流水线I / O架构,从两个LSB开始,每两对Radix-2级用两位指定扩展时间表。例如,N = 256的缩放时间表可以是[2 2 2 3]。当N不是4的幂时,最后一级的最大位增长为一位。例如,对于N = 512,[0 2 2 2 2]或[1 2 2 2 2]是有效的缩放时间表,但是[2 2 2 2 2]无效。对于此变换长度,SCALE_SCH的两个MSB只能为00或01。此字段仅可用于缩放算法(非缩放,块浮点或单精度浮点)。

s_axis_config_tdata接口格式:

1.(可选)NFFT加填充

2.(可选)CP_LEN加填充

3.前转/后转

4.(可选)SCALE_SCH

举例:

内核具有可配置的转换大小,最大大小为128点,具有循环前缀插入和3个FFT通道。内核需要配置为执行8点变换,并在通道0和1上执行逆变换,并在通道2上执行前向变换。需要4点循环前缀。这些字段采用表中的值。

这给出了19位的向量长度。由于所有AXI通道必须与字节边界对齐,因此需要5个填充位,从而s_axis_config_tdata的长度为24位。

3)相关标志信号

3 xilinx FFT IP的仿真测试

FFT的长度选择8点,x输入序列为x=[1,2,3,4,5,6,7,8];

Matlab验证:

clear all
close all
clc
 
x = [1,2,3,4,5,6,7,8];
y =fft(x,8);
realy=real(y);
imagy=imag(y);


Y的实部输出为realy=[36,-4,-4,-4,-4,-4,-4,-4];

Y的虚部输出为imagy=[0,9.6569,4,1.6569,0,-1.6569,-4,-9.6569];

FPGA仿真验证:

1)IP的设置

2)仿真顶层

`timescale 1ns / 1ps
module tb_fft_top(
 
    );
    reg aclk;                        
    reg [7 : 0] s_axis_config_tdata;
    reg         s_axis_config_tvalid;        
    wire        s_axis_config_tready;       
    wire [31 : 0] s_axis_data_tdata;  
    reg         s_axis_data_tvalid;          
    wire        s_axis_data_tready;         
    reg         s_axis_data_tlast;           
    wire [31 : 0] m_axis_data_tdata;
    wire        m_axis_data_tvalid;         
    reg         m_axis_data_tready;  
    wire        m_axis_data_tlast;
    reg [15:0] real_data;
    reg [15:0] imag_data;
    wire [15:0] real_dataout;
    wire [15:0] imag_dataout;
    reg [9:0]  cnt;
    assign s_axis_data_tdata={real_data,imag_data};
    assign real_dataout = m_axis_data_tdata[31:16];
    assign imag_dataout = m_axis_data_tdata[15:0];
    initial begin
      aclk = 0;
      s_axis_config_tdata=8'b0;
      s_axis_config_tvalid=1'b0;
      s_axis_data_tvalid=1'b0;
      s_axis_data_tlast=1'b0;
      real_data=16'd0;
      imag_data=16'd0;
      cnt = 0;
      m_axis_data_tready=1'b1;
      #1000;
      s_axis_config_tdata=8'b0000_0001;
      s_axis_config_tvalid=1'b1;
      #10;
      s_axis_config_tdata=8'b0000_0000;
      s_axis_config_tvalid=1'b0;
      #1000;
      repeat(8)begin
        s_axis_data_tvalid=1'b1;
        real_data=real_data+16'd1;
        cnt=cnt+1;
        if(cnt==8) s_axis_data_tlast=1'b1;
        #10;
      end
      s_axis_data_tvalid=1'b0;
      s_axis_data_tlast=1'b0;
      real_data=16'd0;
      #1000;
      $stop;
    end
    always #(5) aclk= ~aclk;
fft_top Ufft_top(
      .aclk(aclk),                                                // input wire aclk
      .s_axis_config_tdata(s_axis_config_tdata),                  // input wire [7 : 0] s_axis_config_tdata
      .s_axis_config_tvalid(s_axis_config_tvalid),                // input wire s_axis_config_tvalid
      .s_axis_config_tready(s_axis_config_tready),                // output wire s_axis_config_tready
      .s_axis_data_tdata(s_axis_data_tdata),                      // input wire [31 : 0] s_axis_data_tdata
      .s_axis_data_tvalid(s_axis_data_tvalid),                    // input wire s_axis_data_tvalid
      .s_axis_data_tready(s_axis_data_tready),                    // output wire s_axis_data_tready
      .s_axis_data_tlast(s_axis_data_tlast),                      // input wire s_axis_data_tlast
      .m_axis_data_tdata(m_axis_data_tdata),                      // output wire [31 : 0] m_axis_data_tdata
      .m_axis_data_tvalid(m_axis_data_tvalid),                    // output wire m_axis_data_tvalid
      .m_axis_data_tready(m_axis_data_tready),                    // input wire m_axis_data_tready
      .m_axis_data_tlast(m_axis_data_tlast)                      // output wire m_axis_data_tlast       
          );
endmodule

3)仿真结果

Vivado最终的仿真结果为

Real=[36,-4,-4,-4,-4,-4,-4,-4];

Imag=[0,-10,-4,-2,0,1,4,9];

与matlab的计算结果相比实部一样,除虚部因为数据位的取舍问题以外,正数和负数部分顺序相反。

编辑:hfy

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Xilinx
    +关注

    关注

    70

    文章

    2121

    浏览量

    119372
  • 仿真
    +关注

    关注

    50

    文章

    3872

    浏览量

    132155
  • 快速傅立叶变换

    关注

    0

    文章

    3

    浏览量

    1432
收藏 人收藏

    评论

    相关推荐

    采用AI引擎的超采样率数字傅立叶变换设计应用介绍

    电子发烧友网站提供《采用AI引擎的超采样率数字傅立叶变换设计应用介绍.pdf》资料免费下载
    发表于 12-14 16:25 4次下载
    采用AI引擎的超采样率数字<b class='flag-5'>傅立叶</b><b class='flag-5'>变换</b>设计应用介绍

    基于Dynamips GUI的网络服务质量实验设计

    电子发烧友网站提供《基于Dynamips GUI的网络服务质量实验设计.pdf》资料免费下载
    发表于 11-08 14:56 0次下载
    基于Dynamips GUI的网络服务质量<b class='flag-5'>实验设计</b>

    基于CC1100和MSP430的无线UART实验设计

    电子发烧友网站提供《基于CC1100和MSP430的无线UART实验设计.pdf》资料免费下载
    发表于 10-24 09:31 0次下载
    基于CC1100和MSP430的无线UART<b class='flag-5'>实验设计</b>

    DSP操作教程 4-7 快速傅立叶变换(FFT)算法(CCS显示)

    、傅里叶变换 傅里叶变换可以将一个信号从时域变换到频域。时域信号在经过傅立叶变换的分解之后,变为了不同正弦波信号的叠加,我们再去分析这些正弦
    发表于 09-20 11:13

    Xilinx快速仿真器:用户指南

    电子发烧友网站提供《Xilinx快速仿真器:用户指南.pdf》资料免费下载
    发表于 09-15 14:54 0次下载
    <b class='flag-5'>Xilinx</b><b class='flag-5'>快速</b><b class='flag-5'>仿真</b>器:用户指南

    cos的傅里叶变换是多少

    cos的傅里叶变换公式 ; 介绍 在数学中,傅立叶级数和傅立叶变换是分析周期函数和信号的两种最重要的工具。傅立叶级数用于周期函数,而
    的头像 发表于 09-07 16:53 5752次阅读

    傅立叶变换成f和w关系

    傅立叶变换成f和w关系  傅立叶变换是一种将信号从时域转换到频域的重要数学工具。它起源于法国数学家约瑟夫·傅立叶的研究,被广泛应用于信号处理
    的头像 发表于 09-07 16:47 2302次阅读

    傅立叶余弦逆变换公式总结

    傅立叶余弦逆变换公式总结  傅立叶变换傅立叶变换是现代信号处理中最基本的数学工具之一。其中,
    的头像 发表于 09-07 16:47 1176次阅读

    傅立叶变换的频移特性的应用

    傅立叶变换的频移特性的应用  傅立叶变换是一种非常重要的数学工具,对于分析连续时间和离散时间信号具有重要意义。频移特性是傅立叶
    的头像 发表于 09-07 16:23 881次阅读

    傅立叶变换的条件的理解

    傅立叶变换的条件的理解  傅立叶变换是一种非常重要的数学工具,可以将一个信号或函数分解为一系列不同频率的正弦波或余弦波的和。这种分解方法有广泛的应用,如信号处理、图像处理、量子力学等领
    的头像 发表于 09-07 16:18 3759次阅读

    Easygo实时仿真:FPGA实时仿真器、硬件在环((HIL)测试设备及快速控制原型(RCP)系统开发

    ((HIL)测试设备和快速控制原型(RCP)系统开发领域,历经数年产品已完成多次升级,助力电力电子科研领域高速发展。Easygo实时仿真平台产品广泛应用于高端设备、新能源系统、电力机车、智能电网等各个领域
    发表于 08-16 17:29

    DSP实验箱操作教程:4-8 快速傅立叶变换(FFT)算法(LCD显示)

    并在LCD上显示结果。 实验原理 傅里叶变换 傅里叶变换可以将一个信号从时域变换到频域。时域信号在经过傅立叶
    发表于 06-09 15:37

    我印象中的快速傅里叶变换 (FFT)

    首先,FFT是离散傅立叶变换 (DFT) 的快速算法,那么说到FFT,我们自然要先讲清楚傅立叶变换。先来看看
    的头像 发表于 05-05 09:57 805次阅读
    我印象中的<b class='flag-5'>快速</b>傅里叶<b class='flag-5'>变换</b> (FFT)

    一文读懂FFT

    快速傅立叶变换(FFT)是离散傅立叶(DFT)的快速算法,它是根据离散傅立叶
    的头像 发表于 05-05 09:51 1.1w次阅读
    一文读懂FFT

    看完学会速傅立叶变换FFT

    FFT 即快速傅立叶变换。在很多计算机领域都用用处,例如数字图像处理、计算机网络。但他在算法竞赛中主要是用于多项式和生成函数相关的题目。
    的头像 发表于 05-05 09:48 637次阅读
    看完学会速<b class='flag-5'>傅立叶</b><b class='flag-5'>变换</b>FFT