0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

EDAGit:国内第一个以芯片验证为核心的技术社区

我快闭嘴 来源:爱集微 作者:爱集微 2020-09-25 10:46 次阅读

在经历几个月的筹备之后,芯华章很高兴可以和大家分享,中国首个开源EDA技术社区——EDAGit.com 1.0版本正式上线了。

我们相信,中国集成电路要完善、崛起,就需要有更多人加入,一起贡献想法和力量,群策群力,多元碰撞。

我们团队的初衷是希望在融入全新技术底层架构,打造面向未来新一代EDA产品的同时,也可以用我们在行业内20年的研发经验和技术积累,基于经典验证技术做开源EDA强化、创新,让更多有技术理想的IC验证工程师可以应用于项目研发,让更多EDA有志之士可以和我们共同探索、突破。

EpicSim,全球速度最快的开源数字仿真器

数字仿真器,是功能验证最不可或缺的一环。仿真器的性能,语义支持能力,编译流程控制以及调试能力,都对功能验证起着至关重要的作用。市场主流的仿真工具有VCS, IES, Questa 等商业软件,而iVerilog则是目前开源仿真器的代表,由于iVerilog只能支持有限的语法,且性能有待突破,目前主要用于科研项目和高校教学等领域,未曾在商用领域得到打磨的机会,没有实际项目的应用反馈,大大局限了该仿真器的功能改进和性能提升。

基于我们对时序电路特性的了解与研究,我们对iVerilog进行了针对性的优化,使其在RTL 设计上可以有2倍以上的性能提升,并且充实了Verilog语言的支持,大幅提高了iVerilog对场景的适应性。我们对iVerilog进行优化和改造的同时,对其仿真精度上的实现方式进行了修复和校准,使其结果与现有商用软件保持一致。

“遇到bug没有人支持”是阻碍开源软件推动产业加速突破最根本的问题之一。EpicSim从应用性和实用性上,会是一款真正能用于项目开发的开源EDA产品,我们团队会定期针对产品使用时遇到的技术问题在社区内提供技术支持,与大家交流促进。

我们的初衷是希望有更多的项目使用这款产品进行数字集成电路的验证工作,分享有价值的意见和建议,帮助推动仿真引擎、约束条件解析引擎等关键部件的优化,集众人之力对更广泛的语法语义提供支持,直接或间接地共同为应对未来SoC的验证挑战打下坚实的基础,加快EDA创新并降低其使用门槛,进而提高芯片设计的验证效率。

EDAGit,国内第一个以芯片验证为核心的技术社区

章鱼是海洋里的灵长类动物,它有一个聪明的大脑,学习能力强,进化程度高。这与如今的EDA开发者和芯片开发者所需要的核心能力非常相似:超强感知,需要持续学习,方能实现技术突破。

EDAGit.com聚集了国内外最具经验的资深验证专家,专注在验证领域的技术难点,我们以关键验证工具为突破点,提供一个属于EDA开发者和验证工程师独有的技术交流社区,一起寻找国产EDA的突围之路。

在这里,不论你是潜心研究学术的优等生,还是从业多年的江湖高手,都可以在EDAGit分享你的观点,方案和经验,也可以获得技术问题的专业支持。互相学习、交流技术,一起推动EDA技术与芯片技术的突破。

记录你在技术领域的点滴成长,解答你在技术道路上的各种疑问,让你的创意得到实现,都是激励我们向前的动力所在。
责任编辑:tzh

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 集成电路
    +关注

    关注

    5320

    文章

    10732

    浏览量

    353352
  • IC
    IC
    +关注

    关注

    35

    文章

    5543

    浏览量

    173191
  • eda
    eda
    +关注

    关注

    71

    文章

    2537

    浏览量

    170857
收藏 人收藏

    评论

    相关推荐

    国内充电头电源芯片品牌有哪些

    想了解国内充电头电源芯片第一,第二梯度的品牌有哪些?
    发表于 04-25 21:14

    求助,用CubeMX配置占空比30%的PWM输出第一个波形不准确是为什么?

    我用的是G0的芯片配置,通过抓波发现第一个波形永远与我配置的占空比不准确,但是除了第一个周期不准确外,后面的都没问题。
    发表于 03-18 07:55

    【年度精选】2023年度top5榜单——鸿蒙技术社区问答

    在2023年,鸿蒙技术社区发布了系列精选问答,每个问答都凝聚了开发者们的智慧和经验。这些问答涵盖了鸿蒙系统的不同领域,无论是应用开发、系统定制,还是性能优化、安全问题等,都能从中找到实用的解答
    发表于 01-12 17:00

    #共建FPGA开发者技术社区FPGA生态点赞# FPGA入门分享

    、灵活性兼具开发效率、成本。FPGA国外知名的Xinlix,开发环境Quartus II、Modelsim。国内像高云、紫光同创等FPGA做的也挺好,有完整的开发环境、工具,丰富的资料,有定基础上手不会
    发表于 11-26 21:52

    国内首款免代码大功率双向DC/DC电源专用芯片

    的研发和生产上依旧面临着巨大的挑战。森木磊石自主研发的PPEC数字电源控制核心打破了传统DSP控制芯片进行代码编程的电源研发模式,采用独家核心技术
    发表于 11-20 10:31

    #共建FPGA开发者技术社区FPGA生态点赞#+2023.11.7+IC工程师说职场:从入职面试到升职加薪......

    的房价,薪资涨幅明显没跟上节奏。在这个时候,自称 Tracy或者 William 的职业猎头联系上了你:独角兽公司,外企核心产品线,国内
    发表于 11-07 10:06

    打卡有好礼!FPGA开发者技术社区每日打卡活动来啦!!

    ,大步迈向FPGA新发展新力量! FPGA技术社区每日有奖打卡正式开启,参与每日打卡即可获得开发板福利~ 活动要求: 、每月指定打卡关键词,回帖打卡需加上本月打卡关键词 例如
    发表于 11-06 18:28

    Matter 1.2版本正式发布,泰凌微电子第一个支持

    目前,泰凌已成为第一个支持该最新标准的芯片供应商。在matter标准转变为1.2版本的过程中,泰凌积极参与matter社区的sdk维护和开发工作,并参与matter 1.2标准的中文解释。因此,泰凌的matter over th
    的头像 发表于 11-03 10:20 290次阅读

    STM32F1的IIC通信经常接收不到第一个字节是为什么?

    经常读取到第一个字节0,这种情况是什么原因导致的
    发表于 10-15 09:20

    开源芯片社区9月双周报-第一

    首卷语 本期是开源芯片社区双周报第一期,开源芯片社区是由北京开源芯片研究院与GitLink平台共
    的头像 发表于 10-11 16:25 647次阅读
    开源<b class='flag-5'>芯片</b><b class='flag-5'>社区</b>9月双周报-<b class='flag-5'>第一</b>期

    国内首创!通过SDK集成PUF,“芯片指纹”打造MCU高安全芯片

    的设计符合最高的安全标准要求。随着国内对PUF技术的日益重视和应用生态的不断扩张,越来越多的安全MCU芯片将把PUF技术纳入其核心能力范畴。
    发表于 09-06 09:44

    求助,用CubeMX配置占空比30%的PWM输出第一个波形不准确是为什么

    我用的是G0的芯片配置,通过抓波发现第一个波形永远与我配置的占空比不准确,但是除了第一个周期不准确外,后面的都没问题。
    发表于 08-07 07:26

    N76E003 PWM启动第一个脉宽有时有4MS宽度,是哪里问题?

    N76E003 PWM启动第一个脉宽有时有4MS宽度(设置50KHZ 50%DUTY 正常应该为10US),是哪里问题? 这个长脉宽,有时没有,有时有,无规律
    发表于 06-15 07:06

    【盖楼抢好礼】欢迎小眼睛科技有限公司入驻FPGA开发者技术社区!

    ”(小眼睛FPGA),前身为MYMINIEYE,是FPGA核心的设计公司。团队创始人及创始骨干在FPGA领域拥有超过10年的深厚的行业经验和
    发表于 06-14 10:13

    BananaPi 开源社区完成基于Rockchip RK3588J/3588M芯片的全工规,全国产化验证

    Banana pi 开源社区基于Rockchip RK3588M/RK3588J芯片,完成了车规级与工规级的验证,并完成了全国产化验证,推出了金手指
    的头像 发表于 06-05 11:42 1364次阅读
    BananaPi 开源<b class='flag-5'>社区</b>完成基于Rockchip RK3588J/3588M<b class='flag-5'>芯片</b>的全工规,全国产化<b class='flag-5'>验证</b>