0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

华为为什么不研发新的指令集,而去买ARM的专利

汽车玩家 来源:今日头条 作者:小Y通信 2020-03-01 08:00 次阅读

很多人都在讨论,为什么华为公司不自己研发一套芯片指令集,要买ARM的专利,是没能力研发吗?

我的回答是华为有能力研发出来新的指令集,也许性能比ARM的更好,但是为什么不这样做呢,或者说难度有多大呢?

我们先看下方舟一号芯片的开发。

它是1999年就启动的,最终截止到2011年的时候停下来的,10多年的时间里,做了很多方面的工作,比如设计出能够绕过 X86 专利的芯片就已经很不容易了,但是做出来之后没人买,因为没人了解你的指令系统,没人会用你的开发者套件。

然后把这些都勉强搞定以后,还是没人买,因为没有操作系统

等到勉强通过政令,强制让北京市政府办公电脑都用这套软硬件的时候,就发现各种像 Word、Excel 这些文档都没法支持,硬要用,政府相关部门的职能就得瘫痪了。

所以,最终寄托了一大批精英的期待,在大家奋力地修修补补了10几年后,最终也是破产了。

因此自己打造 CPU指令集,还要自己打造开发平台,还要设计出可用的操作系统跟基本的软件,还要求整个系统全部运作起来之后的水平,能够跟现在全世界整个芯片行业跟软件行业的水平是大致相当的,这个成功的概率也太小了。

那我们应该怎么办呢?

我觉得只有一条路,就是融入全球科技的主流,遵守贸易规范。华为在这方面已经作出了榜样,现在华为已经可以位列全球第7大芯片设计公司。华为现在虽然还是在 ARM 的构架上做的芯片,但这正是它做对了的地方,它要是砸钱另辟蹊径,想避开所有的芯片的专利,那是几乎不可能成功的。

那我们没有超越的机会了吗?

不会,随着新的算法的出现,云计算深度学习的出现,这就是一个摆脱传统通用处理器的机会,我们就有机会根据自己的算法定制 AI 芯片。

华为、阿里巴巴就在AI芯片上站在了世界的前列!

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • ARM
    ARM
    +关注

    关注

    134

    文章

    8648

    浏览量

    361756
  • cpu
    cpu
    +关注

    关注

    68

    文章

    10437

    浏览量

    206527
  • 华为
    +关注

    关注

    215

    文章

    33610

    浏览量

    247132
收藏 人收藏

    评论

    相关推荐

    有没有RISC-V的指令集文档分享一下?

    有没有RISC-V的指令集文档分享一下?了解一下他的汇编指令
    发表于 03-30 11:48

    【米尔-全志T113-i开发板试用】使用ruapu探测CPU指令集信息

    ruapu-arm /root/ 使用 adb shell 进入系统,查看cpuinfo的信息,看到 Features 一行是支持的扩展指令集 sh-4.4# cat /proc/cpuinfo
    发表于 02-25 21:36

    【RISC-V开放架构设计之道|阅读体验】汇编语言和扩展指令集

    【RISC-V开放架构设计之道|阅读体验】汇编语言和扩展指令集 汇编语言 将C语言翻译成可执行的机器语言的重要步骤包括编译过程,汇编过程,链接过程。 函数调用约定过程分为六个阶段: 1)将参数存放
    发表于 02-03 13:29

    【RISC-V开放架构设计之道|阅读体验】RISC-V基础整数指令集

    第2章 RV32I:RISC-V基础整数指令集 本章重点讲解构成RISC-V基础整数指令集的基本指令指令格式。主要包含寄存器间操作的R型,用于短立即数和取数操作的I型,用于存数操作的
    发表于 01-31 21:10

    【RISC-V开放架构设计之道|阅读体验】RISC-V基础整数指令集RV32I

    。 图1:RV32I指令集 在讲RISC-V各个阶段,横向比较ARM架构,体现出RISC-V的优越性。 ●RV32I寄存器 RISC-V基础指令集RV32I,只有6种基本指令
    发表于 01-28 11:41

    risc-v标准指令集如何扩展?

    想问问具体要怎么实现标准指令集的扩展呢?需要修改哪些硬件啊? 每一种指令集扩展是相似的吗?还是需要不一样的步骤呢(比如V扩展、K扩展)?
    发表于 01-21 22:19

    讲讲ARM指令集格式以及常用的ARM汇编指令

    对于搞嵌入式驱动或者操作系统的人来说,掌握汇编语言的使用还是比较重要的,毕竟有时候在分析定位问题的时候,多多少少都会有汇编的身影。本文主要讲讲ARM指令集格式以及常用的ARM汇编指令
    的头像 发表于 11-08 12:34 1020次阅读
    讲讲<b class='flag-5'>ARM</b><b class='flag-5'>指令集</b>格式以及常用的<b class='flag-5'>ARM</b>汇编<b class='flag-5'>指令</b>

    基于X86平台的ARM指令集模拟器的设计方案

    电子发烧友网站提供《基于X86平台的ARM指令集模拟器的设计方案.pdf》资料免费下载
    发表于 11-06 11:54 0次下载
    基于X86平台的<b class='flag-5'>ARM</b><b class='flag-5'>指令集</b>模拟器的设计方案

    基于X86平台的ARM指令集模拟器设计

    电子发烧友网站提供《基于X86平台的ARM指令集模拟器设计.pdf》资料免费下载
    发表于 10-11 15:03 0次下载
    基于X86平台的<b class='flag-5'>ARM</b><b class='flag-5'>指令集</b>模拟器设计

    DLX指令集RISC_CPU_verilog源码分享

    DLX指令集RISC_CPU_verilog源码
    发表于 09-26 07:01

    RISC-V芯片出货量崛起,专利联盟在上海成立

    是基于精简指令集计算(RISC)原理建立的开放指令集架构,它是由加州大学伯克利分校图灵奖得主David Patterson教授及其课题组历经30多年研发的第五代基于RISC的CPU(中央处理器)
    发表于 08-30 23:06

    RISC-V产业论坛召开,专利联盟正式成立

    是基于精简指令集计算(RISC)原理建立的开放指令集架构,它是由加州大学伯克利分校图灵奖得主David Patterson教授及其课题组历经30多年研发的第五代基于RISC的CPU(中央处理器)
    发表于 08-30 10:40

    请问可以通过nice接口扩展f指令集吗?

    我看了一下说明书,好像nice接口的opcode是固定的,不能与f指令集的互通,需要改什么rtl代码吗?
    发表于 08-11 13:01

    ARM指令集体系结构(ISA)的一些功能介绍

    ,而是描述ARM如何演变指令集,并仔细选择如何添加新功能。我们希望这些信息帮助您了解为什么Cortex-R82包含它所做的功能。 这一更广泛的背景在为您自己的应用选择设备时可能也很有用,特别是如果您
    发表于 08-02 07:39

    Arm A64指令集体系结构

    指令集体系结构(ISA)是计算机抽象模型的一部分。它定义了软件控制处理器。 Arm ISA允许您编写符合Arm规范的软件和固件。 这意味着,如果您的软件或固件符合规范,任何基于arm
    发表于 08-02 06:33