BJ-EPM CPLD开发板:VHDL入门例程5

2012年05月16日 11:02 来源:本站整理 作者:秩名 我要评论(0)



  -- Filename ﹕ MUX16.vhd

  -- Author ﹕ wuhouhang

  -- Description ﹕ 16位无符号数的乘法运算

  library IEEE;

  use IEEE.std_logic_1164.all;

  use IEEE.std_logic_arith.all;

  use IEEE.std_logic_unsigned.all;

  entity MUX16 is

  port(

  Clk: in STD_LOGIC; --50MHz输入时钟

  Rst_n: in STD_LOGIC; --低电平复位信号

  Mux_en_in: in STD_LOGIC; --乘法器运算使能信号。定义为0表示信号无效;定义为1表示读入乘数和被乘数,并将乘积复位清零。

  Mux_ain: in STD_LOGIC_VECTOR (15 downto 0); --输入a(乘数),其数据位宽为16bit.

  Mux_bin: in STD_LOGIC_VECTOR (15 downto 0); --输入b(被乘数),其数据位宽为16bit.

  Mux_yout: buffer STD_LOGIC_VECTOR (31 downto 0); --乘积输出,其数据位宽为32bit.

  Mux_done_out: out STD_LOGIC --乘法运算完成标志位。1表示乘法运算完成。

  );

  end entity MUX16;

  --640ms计数产生0-F递增数值

  

123下一页

本文导航