3G/4G基站物理层黑匣子验证方法

来源:飞思卡尔供稿 作者:2014年09月16日 10:53
[导读] 基站所面临的挑战是如何让每个相关方不与其它来源进行交互,即能测试自己的解决方案。本文着重介绍了一款通用框架解决方案,用于测试该系统物理层(L1)并确保其运作符合标准规范。

  作者:Mihai Barbulescu、Mihnea Ionescu、Andrei Alexandru Enescu

  引言

  电信时代尚未达到顶峰。预计到 2016年用户数据传输速率将达到每月 6 EB。新通信技术的发展旨在确保满足日益增加的数据传输速率要求。3GPP 标准逐步发展,以确保满足这些要求。与此同时,运营商为提供良好的用户覆盖体验而引入了小型蜂窝需求,蜂窝拓扑也发生了改变。

  在这种有利的情况下,半导体公司提供了广泛的产品组合,以应对不同的业务情况。基本来讲,物理层(L1)的产品包括片上系统(SoC)、基于 FPGA(现场可编程门阵列)的解决方案、多核数字信号处理器(DSP)、基带加速器、ASIC(特定应用集成电路)、网络处理器或这些元件的组合。从硬件方面来看,这些都是非常复杂的平台,具有大容量和丰富的功能集。 这是将为此类平台编写的软件分割成更小的功能部件、通常来自于不同来源和供应商的主要原因: 例如,物理层(L1)、数据链路和网络层(L2-L3)、操作系统(OS)、板级支持包(BSP)等。每个功能部件都有其自身的挑战和性能要求。 因此每个部件都需要独立进行测试,确保完全符合产品要求。

  在此类混合系统中,测试通常分两个阶段进行:

  - 单独测试每个软件模块

  · 这个阶段确保每个组件或模块独立工作正常,由测试仪或控制台激励。

  - 系统集成测试 (SIT)

  · 这一阶段确保所有组件以正确的方式协同工作。

  · 所有模块的输入测试空间通常过大,在本阶段无法覆盖;因此本阶段不注重覆盖范围。

  测试和释放流程需要考虑这两个测试阶段。尽管L1在SIT验证过程中进行了检验,但如果验证目的是特定的物理层功能,那么本阶段不一定需要提供所需的控制力。 这是因为强加特定L1流的决策源自高层逻辑。此外,在 SIT 验证过程中,测试结论只能基于特定流程(如成功的UE附着或获得给定的吞吐量)的输出,在这种情况下,L1 的行为对测试结果有很大影响。可以说,SIT 验证阶段间接测试了L1 的功能。为了充分控制和验证 L1 独立工作时的功能,必须定义一个独特的控制层,从而应对上述规定的限制。

协议实体与 L1交互简图

  图 1 – 协议实体与 L1交互简图

  将验证功能只集中于L1,会剥夺高层实体的功能,使其只能提供最小功能,甚至还可能将一些层一起丢弃。例如,为了保持一致统一的L1行为,需要定义一个调度逻辑,来取代MAC,无需考虑实际部署中有效的限制。 但不再需要与 PDCP和 IP协议栈进行交互,因为从L1的角度来看,用户平面数据最终被作为一系列传输块馈送。RRC逻辑被剥夺了其非接入层特权,只能管理来往于物理层的控制消息流。 在典型的小型蜂窝环境下,用来与L1进行交互的一组消息通常遵从FAPI 规范或其衍生规范。

  本文的结构安排如下。第 2 节介绍了黑匣子测试环境、功能实体及其整合。第3节专注于这种环境的自动化功能。第 4 节研究了飞思卡尔案例,并说明如何应用 L1 黑匣子原理。 第 5 节概述了本文的结论。

  环境设置

  飞思卡尔黑匣子的目的是提供面向自动化测试环境的解决方案,取代全协议测试,使用模拟高层(L2-3)软件包,以验证飞思卡尔的物理层软件解决方案。它拥有测试解决方案的基本功能,如捕捉和上报测试结果,还显示来自软件架构不同点的大量日志,这些点包括: L1、模拟高层(L2)和测试脚本。黑匣子所用的环境包含以下各项:

  · DUT – 被测设备 3G/4G 基站

  · CCE – 中央控制节点

  · L2STUB – 功能剥离的高层

  · 轮询代理 – 定期查询数据库获得可执行的任务(包含要运行的测试及其输入参数)的代理

  · TM – 测试终端设备,第三方 UE(用户设备)模拟器

  · VSA – 矢量信号分析仪

  · VSG – 矢量信号发生器

  · Web服务器

  本文选自电子发烧友网8月《无线通信特刊》Change The World栏目,转载请注明出处!
  

123下一页全文

本文导航

相关阅读

发表评论

elecfans网友

分享到:

用户评论(0

推荐阅读

每月人物

依托AI平台,涂鸦智能开启全屋智能2.0时代!

依托AI平台,涂鸦智能开启全屋智能2.0时代!
随着物联网技术的突飞猛进,生活中越来越多的家庭设备将会联上网络,变得“智慧”起来,智慧家庭的概念成了这几年媒体、企业、用户关注的焦点,而...

发力IoT边缘智能服务,研华以平台服务与边缘智能计算打开物联网应用之门

发力IoT边缘智能服务,研华以平台服务与边缘智能计算打开物联网
研华IoT嵌入式平台事业群总经理许杰弘表示,工业物联网 2009年就开始提出,至今缺乏临门一脚,现在是打开大门的时候了。研华WISE-PaaS物智联软件平台和...

每周排行

  • 型 号
  • 产品描述