您好,欢迎来电子发烧友网! ,新用户?[免费注册]

您的位置:电子发烧友网>电子元器件>接口定义>

74ls374引脚图及功能真值表

2008年04月01日 17:07 本站原创 作者:本站 用户评论(0

74ls374引脚图及功能真值表

八上升沿 D 触发器(3S,时钟输入有回环特性)
简要说明:
374为具有三态输出的八 D 边沿触发器,共有 54/74S374 和 54/74LS374 两种
线路结构型式,其主要电器特性的典型值如下(不同厂家具体值有差别):
型号 fm PD
54S374/74S374 100MHz 450mW
54LS374/74LS374 50MHz 135mW
374 的输出端 O0~O7 可直接与总线相连。当三态允许控制端 OE 为低电平时,
O0~O7 为正常逻辑状态,可用来驱动负载或总线。当 OE 为高电平时,O0~O7 呈
高阻态,即不驱动总线,也不为总线的负载,但锁存器内部的逻辑操作不受影响。
当时钟端 CP 脉冲上升沿的作用下,O 随数据 D 而变。
由于 CP 端施密特触发器的输入滞后作用,使交流和直流噪声抗扰度被改善
400mV。
引出端符号:
D0~D7 数据输入端
OE 三态允许控制端(低电平有效)
CP 时钟输入端
O0~O7 输出端
74ls374引脚管腿图:

74ls374逻辑图和功能真值表:

非常好我支持^.^

(253) 34.3%

不好我反对

(484) 65.7%

相关阅读:

( 发表人:admin )

      发表评论

      用户评论
      评价:好评中评差评

      发表评论,获取积分! 请遵守相关规定!