0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

专题开源PCB硬件资料合集

鸿蒙开源组件的资料合集

1、时尚和幻灯片模式库项目介绍项目名称:ViewPagerIndicator所属系列:openharmony第三方组件适配移植项目移植状态:主功能完成调用差异:无开发版本:sdk6,DevEco Studio2.2 beta1功能:已经可以支持多种指示器样式和多种滑动模式,同时,也支持Drawable指示器和自定义指示器基线版本:Release 1.2.0效果演示属性CIRCLEDASHROUND_RECTNORMALSMOOTHWORMCOLORSCALE2、统一通知管理的功能库项目介绍项目名称:Notify所属系列:openharmony的第三方组件适配移植功能:Notify是一个统一通知管理的功能库项目移植状态:主功能完成调用差异:无开发版本:sdk6,DevEco Studio2.2 Beta1基线版本:release 1.0.4效果演示3、快速滑动和分区显示功能列表项目介绍项目名称:recycler-fast-scroll所属系列:openharmony 第三方组件适配移植功能:ListContainer 的快速滑动和分区显示项目移植状态:部分功能完成(功能:ListContainer的Item高度或宽度不固定时存在问题)调用差异:样式设置与方法名称与原版有不同,具体请参考使用说明开发版本:sdk6,DevEco Studio 2.2 Beta1基线版本:基于Maven 0.2.5 版本效果演示4、幻灯片效果小型库SlideUp是一个小型库,可让您向任何视图添加甜美的幻灯片效果。使用SlideUp向上,向下,向左或向右滑动视图!项目移植状态:支持组件所有基本功能完成度:100%调用差异:无
18次下载 2022-03-16 一只耳朵怪

经典PCB资料合集

PCB多层电路板层叠设计在设计多层PCB电路板之前,工程师们首先要根据单路规模,电路板尺寸以及电磁兼容性(EMC)的需求来确定电路板的层叠结构。在确定层数之后在确定内电层放置位置以及信号的分布,所以层叠结构的设计尤为重要,这里整理了十几篇关于层叠结构设计的文章分享给大家。https://bbs.elecfans.com/jishu_1966927_1_1.html硬件工程师必备的高速信号设计知识!现如今这个高速发展的时代,硬件工程师已经逐渐沦为了“抄板匠”,网上随处可见的最小系统电路设计,某些网站上面以低廉价格出售的成套设计资料,这些都使得硬件工程师的价值不再像之前那样具有含金量。所以想要成为高级硬件工程师,就需要积累经验更要懂得如何进行高速信号设计。希望可以帮助大家对与高速信号设计有根深的了解。https://bbs.elecfans.com/jishu_1966407_1_1.html最全PCB封装库分享--OrCad+PADS+AD封装库作为PCB工程师建立库封装是每一个工程师工作的基础,一旦封装出了问题整个设计都会收到影响,这里为大家整理了三个常用PCB软件的封装库,让大家不用因为软件不同而无法使用。Orcad 作为PCB软件中功能最强的软件,很多工业级主板都是使用此软件进行设计的。https://bbs.elecfans.com/jishu_1965863_1_1.html
0次下载 2020-08-23 elecfans小能手

常见的PCB问题资料合集

在PCB板的设计和制作过程中,工程师不仅需要防止PCB板在制造加工时出现意外,还需要避免设计失误的问题出现。本文就三种常见的PCB问题进行汇总和分析,希望能够对大家的设计和制作工作带来一定的帮助。 我们网站还有很多PCB方面不常见的问题急需解答,你准备好答案了吗?问题一:PCB板短路这一问题是会直接造成PCB板无法工作的常见故障之一,而造成这种问题的原因有很多,下面我们逐一进行分析。造成...
8次下载 2021-11-12 jackhui

晓载PCB开源硬件

电子发烧友网站提供《晓载PCB开源硬件.zip》资料免费下载
0次下载 2023-01-30 0.14 MB

华为PCB资料合集

华为高级工程师毕生积累10几G库文件几呼包含所有器件库 
10次下载 2023-08-14 0.37 MB jf_39133404

莱洛三角PCB资料合集

莱洛三角PCB资料合集
0次下载 2021-12-20 0.25 MB 倒计时

PCB封装库的资料合集

本文档的主要内容详细介绍的是PCB封装库的资料合集免费下载。
0次下载 2020-08-03 1.09 MB 倒计时

手机的原理图和PCB资料合集

本文档的主要内容详细介绍的是手机的原理图和PCB的资料合集。
0次下载 2020-08-24 4.18 MB 倒计时

智能硬件资料合集(下载站)

智能硬件当下非常的火热,很多人都想要这方面的资料,又不知道从何找起。我把站内好的智能硬件相关的资料整理了起来,相信肯定有你需要的资料,这么多资料注意你的积分哟,多多回帖,可以赚取积分。https://www.elecfans.com/soft/73/2015/20150507370397.html智能硬件开发与设计平台大全 https://www.elecfans.com/soft/432/2015/20150507370396.html智能硬件电路设计图集锦 https://www.elecfans.com/soft/73/2015/20150507370399.html智能硬件相关技术大盘点 https://www.elecfans.com/soft/32/2015/20150326366810.html智能手机硬件知识 https://www.elecfans.com/soft/73/2015/20150215364785.html智能手环硬件设计分析 https://www.elecfans.com/soft/32/2012/20120724281422.html智能手机硬件知识浅谈 https://www.elecfans.com/soft/73/2015/20151223395241.html智能手环软硬件方案(心率+计步+睡眠) https://www.elecfans.com/soft/73/2015/20150424369156.html无人机电子电路设计图集锦 https://www.elecfans.com/soft/33/2013/20130727325135.html智能小车C语言模块化编程(我见过最好的) https://www.elecfans.com/soft/73/2015/20150429369679.html智能扫地机器人解决方案 https://www.elecfans.com/soft/161/2015/20150325366724.html智能视频监控系统 https://www.elecfans.com/soft/courseware/2012/20120809283680.html智能家居控制系统 https://www.elecfans.com/soft/study/ms/2015/20151224395476.html智能机器人 https://www.elecfans.com/soft/study/ms/2014/20141111358431.html基于单片机的智能电子钟课程设计(完整版) https://www.elecfans.com/soft/73/2014/20140519343527.html智能家居-三室两厅智能配置方案https://www.elecfans.com/soft/70/2013/20130909327659.html智能台灯设计资料 https://www.elecfans.com/soft/49/50/2006/20060331771.html智能电风扇 https://www.elecfans.com/soft/432/2014/20141119359006.html机器人的智能控制方法 https://www.elecfans.com/soft/33/2015/20150416368496.html各种智能小车的制作资料 https://www.elecfans.com/soft/20/2015/20150415368356.html智能机器人传感技术_张福学 https://www.elecfans.com/soft/432/2015/20150614373792.html智能控制理论及应用 https://www.elecfans.com/soft/study/sensor/2012/20121029294677.html智能排气扇设计 https://www.elecfans.com/soft/21/2012/20121201300286.html通过WiFi控制智能小车机器人制作过程详解 https://www.elecfans.com/soft/study/ms/2015/20151127392314.html基于语音的智能机器人姿态控制 https://www.elecfans.com/soft/study/auto/2011/20110603201078.html多功能电子教室智能中央控制系统 https://www.elecfans.com/soft/33/2014/20140529344482.html智能温控散热器 https://www.elecfans.com/soft/study/build/2012/20121208301215.html智能建筑概述
0次下载 2016-03-03 vinsh

开源下载器的固件资料合集免费下载

由于工作中经常使用AVR,STC,STM的芯片,调试程序需要带多个工具,感觉比较麻烦,现将AVR下载器,STM下载器,和USB转串口和RS485等工具做成一个整体,方便携带和保管。 AVR、STC和STM是近几年国内使用量最多的几种单片机,此工具制作简单,使用方便,可以说搞单片机开发的人人必备,本产品创新点仅是将现有的开源的下载器做了整合,方便携带和使用,一般商用下载器都不愿意做这件事情,要么不是
2次下载 2019-12-18 0.04 MB 该走了

双足机器人的开源资料合集免费下载

控制板包括两个部分,一个是基础板,如上图示,还有一部分就是接在主板接口的 51 板。控制板的正常工作电压在 6.4-8.4v,低于 6.4v 时蜂鸣器会发出低压报警信号,提醒客户及时充电,不过我们供电采用的是 AD/DC 电源适配器,只要插上就不用担心电压的问题。控制板上的 USB 接口是用来下载程序,动作组或者调试用的,只需接到电脑上,打开相应的上位机即可。脱机按键,当按下时双足会运行 100 号动作组(动作号可在程序中修改)。总线接口,接串口
6次下载 2019-05-31 6.08 MB 南美鹰panda

小e智能硬件开源开发平台(开源资料下载地址)

本帖最后由 cuihu 于 2016-1-20 18:18 编辑 各位亲: 虽然大家还没有拿到开发板,但是资料可以先下载了哦。视频教程:小e物联网智能硬件开发平台系列教材之一——试用教程http://v.youku.com/v_show/id_XMT ... l?qq-pf-to=pcqq.c2c小e物联网智能硬件开发平台系列教材之二——环境搭建 http://v.youku.com/v_show/id_XMTQ0MDk1NDM2NA==.html小e物联网智能硬件开发平台系列教材之三——烧录指导 http://v.youku.com/v_show/id_XMTQ0MDkyODk1Mg==.html小e开发平台正在电子发烧友众筹:http://z.elecfans.com/3.html更新了微信源码,修改了环境搭建手册,兼容更多的操作系统。同时更新了API和快速体验。请大家及时更新资料。或者登陆www.kaifakuai.com的开发者中心下载资料。跟新记录:2016年1月8日:更新了IOS Demo。2016年1月20日:更新常见问题及处理方法。
1.5w次下载 2015-12-28 cuihu

鸿蒙开源第三方组件资料合集

1、鸿蒙开源第三方组件——日志工具组件Timber_ohosTimber_ohos是一个带有小型可扩展API的日志工具组件,它可以给开发者提供统一的API接口,来记录不同类型的日志,帮助开发者管理不同类型的log。同时,Timber_ohos是项目开发时的log开关,通过此开关控制log的打印与关闭,从而形成不同的软件版本。该组件功能丰富且使用简单高效,可以被广泛应用于软件项目开发中。组件效果展示1、测试界面。 如图1所示,这是一个为了测试Timber_ohos功能而简单构建的UI页面。点击“测试”按钮即可输出相应的log。2、鸿蒙开源第三方组件——crop_image_layout_ohoscrop_image_layout_ohos组件能对图片进行旋转和自定义裁切的操作,并且无论待裁切图片原尺寸有多大或多小,最终都将在以最佳尺寸在组件内显示。同时,该组件操作界面简洁且使用方法简单,易被开发者使用或优化,能够提升应用的丰富性和可操作性。组件效果展示组件中可以通过操作图片、裁切框、按钮,最终实现在图片中裁切部分区域并进行显示的效果,组件的运行效果如图1所示。3、鸿蒙开源第三方组件——游戏框架JustWeEngine本项目是基于开源项目JustWeEngine进行ohos化的移植和开发的,可以通过项目标签以及github地址(https://github.com/lfkdsk/JustWeEngine )追踪到原项目版本  项目介绍项目名称:JustWeEngine所属系列:ohos的第三方组件适配移植功能:JustWeEngine是托管在Gitee 的一个开源的Ohos原生开发框架,可以让Ohos的开发人员非常便捷,无需切换语言和编译器的制作Ohos原生游戏项目移植状态:完成调用差异:无原项目基线版本:v1.13编程语言:Java效果展示4、鸿蒙开源第三方组件——Zbar_ohos条形码阅读器 Zbar-ohos是基于鸿蒙系统的条形码阅读器,支持EAN-13 / UPC-A、UPC-E、EAN-8、Code 128、CODE39、Codabar和QR码的识别,目前已经广泛应用于扫码登记、扫码观影、扫码登录等多个领域。组件效果展示1、添加权限 打开软件后,会显示如图1所示的添加摄像头权限提示。点击“始终允许”按钮,并重启该软件(刷新UI界面),即可扫描条形码。5、鸿蒙开源第三方件组件——轮播组件BannerBanner一般位于APP的顶部或中部,通过循环播放和手动滑动的方式对一些图片进行展示,其底部通常放置一行圆圈状的指示器,表示当前正在展示的图片的页码。Banner组件播放图片美观且节约页面,目前已经被广泛应用于各大APP。功能展示基于鸿蒙系统,通过自定义控件属性的方式实现了Banner组件,该组件支持图片的循环播放、手动滑动两种功能。1、循环播放 当页面没有任何操作时,Banner内部的图片按照提前设定时间间隔和顺序自动轮播,这种方式称为循环播放,效果如图一所示。
4次下载 2022-03-23 一只耳朵怪

X、elua解析器开源文档:合集 精选资料分享

前言  嵌入式开发人员一般情况下都是从C语言开始入手的,然而C语言的学习难度较大,入门门槛也比较高。很多人因为自身C语言的瓶颈导致很难做出来复杂的产品。有的人也仅仅是因为兴趣爱好只是想diy做些小玩意玩玩。如果仅仅是因为个人兴趣想去diy玩玩,而去花费大量的时间去学习C语言显然是不合算的,得不偿失。我见过很多人自身本来不从事嵌入式开发的相关工作,但是他们却用Arduino玩diy玩的风生水起,丝毫不比一些市面上所谓的商用产品差,总不能因为别人不从事相关的工作而去抹杀他们的兴趣爱好吧。我们合宙通信深知这个痛...
0次下载 2021-08-12 hisysteeoke

PCB秒杀动作开源资料

Silkreen.thk上已准备好材料清单
1次下载 2022-07-01 0.32 MB 3838698262

硬件设计与PCB相关资料

本帖最后由 gk320830 于 2015-3-5 17:46 编辑 硬件设计与PCB相关资料
761次下载 2013-02-05 hobbye501

RK3288高性能应用处理器硬件资料原理图和PCB资料合集免费下载

RK3288是一颗适用于高端平板电脑、笔记本电脑、智能监控器的高性能应用处理器,并且是4Kx2K电视盒子的强大解决方案之一。
84次下载 2019-04-02 17.49 MB Rixton

二层板的PCB设计的资料合集免费下载

本文档的主要内容详细介绍的是二层板的PCB设计的资料合集免费下载主要内容包括了:设计资料,PCB原理图,程序等资料免费下载。
0次下载 2018-12-11 10.18 MB gray19

加光耦的舵机驱动板的PCB资料合集免费下载

本文档的主要内容详细介绍的是加光耦的舵机驱动板的PCB资料合集免费下载。
0次下载 2019-03-07 1.90 MB 倒计时

PROTEL封装库和PCB库的资料合集免费下载

本文档的主要内容详细介绍的是PROTEL封装库和PCB库的资料合集免费下载。
0次下载 2019-03-14 1.43 MB 倒计时

Cadence Allegro PCB设计详细教程资料合集

本文档的主要内容详细介绍的是Cadence Allegro PCB设计详细教程资料合集包括了:Allegro_常用快捷键说明,allegro_使用技巧总結,allegro_小技巧集锦,Cadence_Allegro_简易手册_中文
0次下载 2020-06-12 3.04 MB 倒计时

智能小车的PCB资料合集免费下载

本文档的主要内容详细介绍的是智能小车的PCB资料合集免费下载。
0次下载 2020-05-12 15.27 MB 倒计时

频率计设计的PCB资料合集免费下载

本文档的主要内容详细介绍的是一个频率计设计的PCB资料合集免费下载。
33次下载 2019-03-08 6.28 MB jf_95110324

多功能LED灯的PCB资料合集

本文档的主要内容详细介绍的是的多功能LED灯的PCB资料合集 可以分为大灯面板和小灯,适用多种场景。
0次下载 2020-07-17 8.62 MB 倒计时

8×8×8光立方原理图及PCB资料合集免费下载

本文档的主要内容详细介绍的是8×8×8光立方原理图及PCB资料合集免费下载
63次下载 2019-07-15 0.94 MB 花堪渡

一场关于开源硬件和软件无线电的讨(论)论(战)合集

2020年末发生在twitter的一场关于开源硬件和软件无线电的讨(论)论(战)。从我29号晚上8点半发出的一个twitter开始,到2021年1月1日凌...
0次下载 2022-01-25 293.24KB 沉默……

PCB如何设计PCB设计经验详细资料合集免费下载

放置与结构有紧密配合的固定位置的元器件,如电源插座、指示灯、开关、连接件之类,这些器件放置好后用软件的LOCK功能将其锁定,使之以后不会被误移动;放置线路上的特殊元件和大的元器件,如发热元件、变压器、IC等。
0次下载 2019-01-11 0.03 MB 倒计时

DesignSpark PCB官方教程合集

最近在一直在DesignSpark社区活跃,这个社区只要介绍了俩软件,一个是DesignSpark PCB另一个是DesignSpark Mechanical ,一个针对电子设计的软件另一个是针对3D建模的软件。这俩软件目前还算是小众产品,但是在一个team里运用这俩个软件必不可少,作为开源的人来说掌握这俩个软件可算是玩转达人了!DesignSpark PCB:DesignSpark PCB是一款优秀的电子设计软件,嵌入了RS独有的超过8万多种ModelSource在线模型数据库接口和卓有成效的电子商务功能,从设计、评估、报价、采购到生产全过程中帮助工程师们快速方便的完成设计电气原理图和PCB制图等功能。DesignSpark Mechanical :DesignSpark Mechanical是功能强大的3D设计软件,使用强大且直观的基于手势的建模,结合RS独有的超过3万多种ModelSource在线3D 库中的现成元件,只需几秒即可进行修改和添加,即学即用,简单便捷!今天,我主要先给大家上传一些关于DesignSpark PCB 教程合集是官方版本哟!由于内容较多,我依然上传到百度云盘,敬请大家下载学习!http://pan.baidu.com/s/1oZxDZ
0次下载 2019-03-07 zongyueli

嵌入式硬件测试资料合集,绝对实用

CSDN 博客硬件测试专栏 https://blog.csdn.net/engrossment/column/info/30400硬件测试之出厂测验 https://blog.csdn.net/zimingzim/article/details/81409995硬件测试工程师培训 https://blog.csdn.net/rabbit100/article/details/59058...
13次下载 2021-12-21 liese

有福了!STM32四轴飞行器开源资料合集,含电路图、PCB、算法……

1、DIY STM32四轴飞控,含电路图、PCB、固件等资料装好的四轴全套照片:下载地址:https://bbs.elecfans.com/jishu_422646_1_1.html2、基于STM32的四轴飞行器。资料非常全,需要的赶紧拿去下载地址:https://bbs.elecfans.com/jishu_516864_1_1.html3、调试记录:毕设开源,四轴飞行器,基于RT-Thread 和 STM32虽然我的毕设题目是:四轴飞行器的控制,但飞行器的算法都是用最基本的算法。而让我自己感到更加有价值的部分是如何在RTT上运行一个飞控并较好的协调各个模块的工。希望能给学习STM32和RT-Thread的同学一个参考,也希望RTT能越做越好。断断续续做了5个月,因为这几年都做类似的东西,所以做起来难度不大,我的目标是够用就行。图片如下:下载地址:https://bbs.elecfans.com/jishu_463708_1_1.html5、【集合贴】5种常用的四轴飞行器PID算法讲解集合先分享一些算法的效果1. 三角函数直接解算欧拉角+互补滤波+单级PID版本效果:简单暴力,但是补滤波效果差,单级PID响应慢,打舵跟随效应差。2. 三角函数直接解算欧拉角+卡尔曼滤波+单级PID版本效果:卡尔曼滤波噪声偏大,滞后略微严重,单级PID难操作,打舵响应慢,跟随效应差。不过比较适合初学四轴的人,难度比四元数加串级PID版本低,易于理解。3.四元数姿态解算+互补滤波(德国开源四轴)+串级PID版本效果:四元数难理解,基于PI控制的互补滤波不适合非专业人员,PID参数较单级PID参数难调。打舵响应极佳,稳定程度高,易于操作,是目前四轴的主流算法下载地址:https://bbs.elecfans.com/jishu_486490_1_1.html6、德国四轴飞行器软件代码资料,pid控制算法很经典的很niux下载地址:https://bbs.elecfans.com/jishu_488835_1_1.html
0次下载 2020-08-31 elecfans短短

数字跑表设计的资料合集包括原理图和PCB及论文的资料合集免费下载

1. 工作原理 1.利用555计时器构成能产生特定脉冲的多谢振荡器,产生100Hz的脉冲信号,满足数字跑表的脉冲需求;2.用多功能计数器产生一百进制和六十进制,实现数字跑表的计数功能;3.利用各种门电路的组合,实现数字跑表的启动、暂停和清零;4.利用译码器和数码管实现译码及显示功能。
17次下载 2018-11-16 1.28 MB 风小浪

C++开源库的详细资料合集免费下载

程序员要站在巨人的肩膀上,C++拥有丰富的开源库,这里包括:标准库、Web应用框架、人工智能、数据库、图片处理、机器学习、日志、代码分析等。
2次下载 2019-05-30 0.18 MB

硬件创客开源设计教程资料汇总,带你玩转树莓派

新编树莓派3的使用手册:新编树莓派3的使用手册资料免费下载内容包括了:一、折腾之前的准备,二、树莓派运行判断,三、树莓派系统介绍,四、系统安装,五、风扇与散热片的安装 ,六、树莓派启动,七、系统设置,八、账号、密码、ROOT,九、必备软件和环境的安装,十、系统备份还原与TF卡重做系统,十一、内核编译,十二、搭建WEB服务器,十三、CSI 接口摄像头的使用,十四、 Windows10 IOT的安装与调试,十五、用Xbian看电影听歌,附录1、Config.txt全解树莓派系列教程资料汇总:树莓派系列教程资料汇总免费下载包括了:树莓派系列教程1:人生若只如初见,树莓派系列教程2:树莓派烧写镜像,树莓派系列教程3:访问树莓派,树莓派系列教程4:树莓派raspi-config配置,树莓派系列教程5:linux常用命令以及vi/vim编辑器,树莓派教程系列6:文件共享(samba),树莓派系列教程7:如何点亮一个LED灯(上),树莓派系列教程8 : 如何点亮一个LED灯(下),树莓派系列教程9:按键,树莓派系列教程10:I2C,树莓派系列教程11:I2C编程,树莓派系列教程12:I2C总线控制BMP180,树莓派系列教程13:Serial串口,树莓派系列教程14:单总线控制DS18B20,树莓派系列教程15:红外遥控,树莓派系列教程16:RTC,树莓派系列教程17:PCF8591 AD/DA,树莓派系列教程18:SPI 开源硬件创客15个酷应用玩转树莓派的PDF电子书:本书共18章。前3章是本书的基础章节,主要介绍了树莓派的一些基本情况和基本操作,让读者了解树莓派的前世今生,掌握树莓派基本的使用方法;第4~18章主要介绍15个以树莓派为载体的酷炫应用,大家可以按照本书内容,一步一步来完成个人网站、影音盒子、下载机、私有云、智能路由器、智能家居等应用的开发;最后的附录部分,包含了树莓派常用的操作命令和一些使用技巧,方便大家更好地使用树莓派。  本书适合开源硬件爱好者、程序员、计算机软硬件爱好者以及对树莓派感兴趣的读者阅读,也适合作为树莓派相关实践课程的基础教程。
166次下载 2020-05-18 elecfans短短

利尔达NB-IoT软件和硬件资料合集免费下载

本文档的主要内容详细介绍的是利尔达NB-IoT软件和硬件的资料合集免费下载包括了:Hi2110 AT NB-IoT 命令集指令手册免费下载,Lierda NBXX-01 固件版本更新说明,NBXX-01_推荐焊盘尺寸,NBXX-01_原理图封装,NBXX-01型NB-IOT模组参考设计,NBXX-01型NB-IoT模组规格书,NBXX_01型NB-IOT模组生产参考指导。
19次下载 2019-04-04 5.26 MB jiugujia

使用单片机系统制作一个自制硬件字库的设计资料合集

本文档的主要内容详细介绍的是使用单片机系统制作一个自制硬件字库的设计资料合集。
15次下载 2020-08-13 1.02 MB Wildesbeast

华为硬件/C语言/天线/通信技术资料合集

华为硬件/C语言/天线/通信技术资料合集
68次下载 2021-05-19 8.73 MB HKFJDK

PCB硬件设计规范资料免费下载

本文档的主要内容详细介绍的是PCB硬件设计规范资料免费下载。 为了适应新的形势,配合ERP系统的实施,规划硬件设计,以利于生产、采购、后期产品维护,全面提高我司的产品质量和客户的满意度。
0次下载 2019-09-26 0.30 MB 倒计时

RK3328硬件参考设计资料合集免费下载

本文档的主要内容详细介绍的是RK3328硬件参考设计资料合集免费下载包括了:RK3328硬件设计指南,和RK3328数据手册,及相关资料合集免费下载。
62次下载 2019-04-19 21.44 MB 罗振刊

全志R16软硬件的全套设计资料合集免费下载

本文档的主要内容详细介绍的是全志,软硬件全套设计资料合集免费下载包括:AXP223产品介绍,PhoenixSuit使用说明文档,R16 Android方案内存配置说明,R16 Camera模组选型,R16 CAMERA自动检测使用说明,R16 GMS认证说明,R16 input驱动自适应使用书,R16 TP独立供电使用说明,R16 WiFi移植说明书,R16 Android快速移植指南,R16 DragonBoard使用说明书,R16 IIC驱动开发说明书,R16 lichee使用手册,R16 pinctrl接口使用说明书,R16 系统配置说明书,移植阶段修改系统配置使用文档,功
74次下载 2019-05-24 28.85 MB 一個小白

全志R16软硬件全套设计资料合集免费下载

全志R16软硬件全套设计资料和固件,环境搭建及IC等资料合集免费下载。包括了:AX223数据表,PhoenixSuit使用说明文档,R16 Android方案内存配置说明,R16 Camera模组选型,R16 WiFi移植说明书,R16 checklist(PCB部分),R16 checklist(原理图部分),Android编译服务器系统安装标准手册,R16 tina SDK下载说明等几十个文档资料免费下载。
23次下载 2019-03-08 28.85 MB 南陌礼花

PCB硬件设计规范(详细版) 精选资料分享

为了适应新的形势,配合ERP系统的实施,规划硬件设计,以利于生产、采购、后期产品维护,全面提高我司的产品质量和客户的满意...
3次下载 2021-07-28 guotong1984

PCB硬件设计规范(详细版) 精选资料分享

为了适应新的形势,配合ERP系统的实施,规划硬件设计,以利于生产、采购、后期产品维护,全面提高我司的产品质量和客户的满意度。一、提交文件要求:提交文件分为三部分:设计文件、生产文件、资料文件,分别各以一个压缩包提供;目录结构及命名方式如下:设计文档 打包文件SWHXXXX-VX-XXXXXX-设计文件.rarSWH5112-V1-120313-设计文件.RAR...
3次下载 2021-07-28 cd340823

【秀秀资源】+硬件基础知识合集

1.华为模拟技术讲义(上册)华为模拟技术讲义https://www.elecfans.com/soft/69/2017/20170207480746.html2.10种软件滤波方法10 种软件滤波方法的示例程序 种软件滤波方法的示例程序 种软件滤波方法的示例程序 种软件滤波方法的示例程序 种软件滤波方法的示例程序 种软件滤波方法的示例程序https://www.elecfans.com/soft/69/2017/20170216485566.html3.模电知识200问https://www.elecfans.com/soft/69/2017/20170227489666.html4.《你好,放大器》杨建国老师的书,专门介绍放大器的https://www.elecfans.com/soft/69/2017/20170302491218.html5.上拉电阻的作用https://www.elecfans.com/soft/69/2017/20170304491949.html6.74系列芯片资料https://www.elecfans.com/soft/69/2017/20170304491968.html7.常用三极管型号https://www.elecfans.com/soft/69/2008/200804062782.html8.模拟电子技术基础pdf童诗白的书,设计必看https://www.elecfans.com/soft/69/2008/200808137107.html
0次下载 2017-03-25 笨笨DAO

PCB鸟类自动售货机开源硬件

电子发烧友网站提供《PCB鸟类自动售货机开源硬件.zip》资料免费下载
4次下载 2023-06-08 0.16 MB 蓝爱依在

开源硬件-TIDA-01410-相位同步 PCB layout 设计

The TIDA-01410 reference design uses two LMX2594 synthesizers to produce two outputs that are both coherent and adjustable in phase.  Phase coherent outputs are useful for interleaving data conve
0次下载 2017-12-10 640.84KB h1654155965.0069

开源硬件-TIDA-00379-待定 (tbd) PCB layout 设计

该 110VAC 至 5VDC、30mA 非隔离式电源参考设计使用低功耗、低成本、离线降压转换器和低噪声、低压降稳压器,直接通过 110VAC 线路输入来生成非隔离式 5V/30mA 输出,在空载和满载条件下具有低于 10mV 的输出纹波。使用整流器和电容滤波器直接通过交流线路为离线式转换器供电,不需要大型 60Hz 变压器,从而消除了基于变压器的典型电源中超重、超昂贵的元件。整个参考设计在尺寸仅
812次下载 2008-08-07 433.5KB 朱雅瑞

PCB的设计经验合集分享

本文档的主要内容详细介绍的是PCB的设计经验合集分享
0次下载 2019-11-27 1.50 MB 倒计时

开源硬件-TIDA-01350-高速线性跨阻 PCB layout 设计

此高速线性跨阻放大器参考设计是一款高速线性二级跨阻放大器 (TIA) 应用,采用 LMH5401 全差动放大器 (FDA)。参考设计中包括具有集成式光纤尾纤的光电二极管。此随附的光电二极管可视为近乎理想的电流源,从而支持进行轻松测试。
176次下载 2019-06-19 487.91KB 叶秋_73a

开源硬件-TIDA-00582-100A 电流源 PCB layout 设计

诸如德州仪器 (TI) PTH08T250W 的独立双相电源模块可提供高达 50A 的输出负载电流。但是,PTH08T250W 整合了 TI 的可堆叠控制器功能,此功能允许并联多个模块的输出,从而形成可靠的解决方案来提供 100 A 或更高的负载电流。
0次下载 2008-12-24 908.69KB 新手上路

AD Gerber的PCB元件库资料合集免费下载

本文档的主要内容详细介绍的是AD Gerber的PCB元件库资料合集免费下载。
0次下载 2021-01-21 0.01 MB 倒计时

PCB元件库和封装库文件资料合集免费下载

本文档的主要内容详细介绍的是PCB元件库和封装库文件资料合集免费下载。
0次下载 2019-11-28 0.26 MB 倒计时

三相逆变主电路的原理图和PCB资料合集免费下载

本文档的主要内容详细介绍的是三相逆变主电路的原理图和PCB资料合集免费下载。
78次下载 2020-09-22 27.35 MB 523236425

吸奶器的原理图和PCB源文件资料合集免费下载

本文档的主要内容详细介绍的是吸奶器的原理图和PCB源文件资料合集免费下载。
32次下载 2021-02-22 1.39 MB 573秋枫依海

AltiumDesigner超级PCB和元器件封装库资料合集免费下载

本文档的主要内容详细介绍的是Altium Designer超级PCB和元器件封装库资料合集免费下载。
0次下载 2019-08-08 6.93 MB 倒计时

PCB元器件封装库和元件库资料合集免费下载

本文档的主要内容详细介绍的是PCB元器件封装库和元件库资料合集免费下载。
195次下载 2019-05-13 4.36 MB 深巷里的猫儿

LDO的原理和特性的资料合集

                (接上文)  上文讨论了LDO的原理和特性,本文再分析一下DC-DC。二、DC-DCⅠ 原理  DC-DC是一种在直流电路中将一个电压值的电能变为另一个电压值的电能的装置,属于开关电源。开关电源根据拓扑分类可分为隔离型和非隔离型,顾名思义隔离型拓扑表示输入电压与输出电压之间不存在电流路径(输入回路与输出回路不直接相连),非隔离型表示输入输出电压之间存在电流路...
1次下载 2021-11-17 richips

HarmonyOS 开发资料合集

鸿蒙资料很多,但是都分布在各大网站上,这导致了很有朋友查找起来比较困难,现在我这里为大家整合一下,本贴资料将会持续更新,有需要的朋友可以收藏下,方便下次查找~此外,在本帖下面评论将获得5积分(每人限领1次)HarmonyOS从入门大神系列HarmonyOS从入门到大神资料下载合集(上)HarmonyOS从入门到大神资料下载合集(下)WiFi IoT 开发套件资料包Ubuntu操作入门HarmonyOS 2.0设备开发入门(手册+代码)HarmonyOS开发工具AI Camera、WiFi IoT、IPC开发板资料合集HarmonyOS开发环境搭建、HarmonyOS烧录方法总结、HUAWEI DevEco Device Tool 使用指南Hispark WiFi IoT Hi3861 相关资料本贴将会持续更新资料~
316次下载 2021-07-29 wdc596933938

通信接口的资料合集

MCU学习笔记通信接口简介1. 通信接口2. 串行通信1. 通信接口处理器与外部设备通信的方式并行通信传输原理:数据各个位同时传输优点:速度快缺点:占用引脚资源多串行通信传输原理:数据按位顺序传输优点:占用引脚资源少缺点:速度相对较慢2. 串行通信分类单工:只支持数据在一个方向上传输半双工:允许两个方向传输,但同一时刻,只允许数据在一个方向上传输全双工:同一时刻允许数据在两个方向上传输,要求发送端与接收端都有独立的接收和发送能力通信方式同步通信:带
7次下载 2021-11-03 小新1999

一个超级简单的红绿灯PCB资料合集免费下载

本文档的主要内容详细介绍的是一个超级简单的红绿灯PCB资料合集免费下载。
0次下载 2020-07-31 5.69 MB 倒计时

串联超级电容组的过压保护PCB资料合集免费下载

本文档的主要内容详细介绍的是串联超级电容组的过压保护PCB资料合集免费下载。
0次下载 2020-06-24 0.02 MB 倒计时

CH340T的PCB设计项目资料合集免费下载

本文档的主要内容详细介绍的是CH340T的PCB设计项目资料合集免费下载。
0次下载 2020-05-25 14.37 MB 倒计时

GSM的控制电路原理图和PCB资料合集

本文档的主要内容详细介绍的是GSM的控制电路原理图和PCB的资料合集免费下载。
41次下载 2019-07-08 3.10 MB jf_15194771

微电流检测设计的资料合集免费下载包括PCB,原理图和程序等

本文档的主要内容详细介绍的是微电流检测设计的资料合集免费下载包括PCB,原理图和程序等。
27次下载 2019-01-28 1.33 MB 杨鹏071

负载开关的资料合集

负载开关:什么是负载开关,为什么需要负载开关,如何选择正确的负载开关?集成负载开关是可用于开启和关闭系统中的电源轨的电子继电器。负载开关为系统带来许多其它优势,并且集成通常难以用分立元件实现的保护功能。负载开关可用于多种不同的应用,包括但不限于:• 配电• 上电排序和电源状态转换...
6次下载 2021-10-29 小芳

MCU设计电路的资料合集

一、电源部分的设计1、USB插座供电电源设计其中VCC出去即为整体电路板的电源起始处;因为USB基本上从AT或者ATX上接入,大多为开关电源,能够自动调节电流大小,很不稳定。故VDD出来后会加上去耦电容,滤波稳定电源。并且会加上电源指示灯的电路。通过六角启动开关的一边进行VDD到VCC的联通,然后对VCC进行电源指示,并且将该VCC进行3.3V的转换,最后在进行3.3V...
1次下载 2021-11-03 那年我十七_

OpenART的资料合集

过去的很长一段时间里,恩智浦在各个渠道发表了很多篇关于AI机器学习的文章。里面有介绍神经网络基础知识的,模型设计原理的,还有一些人脸识别,图像分类的示例。很多童鞋看了心痒痒,想要验证一番。奈何没有配套软硬件,无法施展。是不是有种空有屠龙技,没有风火轮,混天绫的遗憾啊!在这里向大家隆重推出,基于MCU平台的AI机器学习开发套件:OpenART。智能车参赛的童鞋可能已经听说过了。没错,OpenART的首发亮相就是今年智能车竞赛,AI视觉组里的图像识别模块。OpenART的...
5次下载 2021-11-11 rstech_rd

matlab资料合集

270次下载 2012-12-21 zwj108

PCB低通开源设计

电子发烧友网站提供《PCB低通开源设计.zip》资料免费下载
1次下载 2022-08-19 0.02 MB F_dd3

AD多层PCB板设计布局的详细资料合集免费下载

本文档的主要内容详细介绍的是AD多层PCB板设计布局的详细资料合集免费下载,包含5个文档,有多层板的教程,多层板布局布线的注意事项。希望对大家有帮助。
0次下载 2018-10-11 2.40 MB

PCB的3D模型库资料合集免费下载

本文档的主要内容详细介绍的是PCB的3D模型库资料合集免费下载。
0次下载 2020-05-18 4.80 MB 倒计时

USB原理及PCB封装集成库资料合集免费下载

本文档的主要内容详细介绍的是USB原理及PCB封装集成库资料合集免费下载。
0次下载 2019-08-06 1.41 MB 倒计时

硬件调试中常见问题大合集,绝对实用

232串口能和电脑连接但互相不能连接将两个232共地
0次下载 2022-01-11 生龙活虎3

智能车主控主板的PCB资料合集免费下载

本文档的主要内容详细介绍的是智能车主控主板的PCB资料合集免费下载。
0次下载 2020-07-10 3.92 MB 倒计时

PCB设计的布局和走线与电磁兼容的资料整理合集

布局的DFM要求 1 已确定优选工艺路线,所有器件已放置板面。 2 坐标原点为板框左、下延伸线交点,或者左下边插座的左下焊盘。3 PCB实际尺寸、定位器件位置等与工艺结构要素图吻合,有限制器件高度要求的区域的器件布局满足结构要素图要求。
0次下载 2019-12-24 0.02 MB 倒计时

双路2988N加光耦直插驱动PCB的设计资料合集免费下载。

本文档的主要内容详细介绍的是双路2988N加光耦直插驱动PCB的设计资料合集免费下载。
0次下载 2019-03-07 18.05 MB 倒计时

3.3V电源的原理图和PCB资料合集免费下载

本文档的主要内容详细介绍的是3.3V电源的原理图和PCB资料合集免费下载。
0次下载 2019-03-25 2.72 MB 倒计时

交通灯的电路原理图和PCB资料合集免费下载

本文档的主要内容详细介绍的是交通灯的电路原理图和PCB资料免费下载。
15次下载 2020-09-15 0.28 MB pslsztvc

火灾报警器的PCB详细资料合集免费下载

本文档的主要内容详细介绍的是火灾报警器的PCB详细资料合集免费下载。
0次下载 2018-11-16 0.62 MB hanmaco

ADS PCB元器件库的详细资料合集免费下载

本文档的主要内容详细介绍的是ADS PCB元器件库的详细资料合集免费下载,比较齐全,基本都能找到。
0次下载 2018-12-18 17.38 MB 加贝2

Altium Designer PCB设计规则中英对照资料合集

本文档的主要内容详细介绍的是Altium Designer PCB设计规则中英对照资料合集。
0次下载 2021-01-18 0.01 MB 倒计时

STM32的AD原理图库和PCB封装库资料合集免费下载

本文档的主要内容详细介绍的是STM32的AD原理图库和PCB封装库资料合集免费下载。
0次下载 2019-07-26 0.34 MB 倒计时

PHY6222 EVB原理图和PCB开源资料

原理图中VDDDEC对应的C6电容,容值需换成10nF。
108次下载 2022-06-28 simple_tengk

NodeMCU的学习资料合集

通过NodeMCU发送数据到OneNET平台,序号先在OneNET平台上创建MQTT协议的产品,然后在产品线创建设备。在连接平台时需要用到产品ID、设备ID以及设备的鉴权信息。在OneNET平台,MQTT协议对应的服务器IP和端口为:183.230.40.39,6002。注意OneNET有多种协议可进行连接,不同的协议对应的服务器IP和端口号是不同的。想要实现本实验的功能,需要对TCP/IP和MQTT协议有一定的了解。(《MQTT协议--MQTT协议解析》,《MQTT协议--MQTT协议简介及原...
0次下载 2021-11-01 普罗旺斯的薰衣草

EDA学习资料合集

资料以网盘形式分享,点击下方附件就可以下载。都是自己从其他地方搜集过来的资料,下载完了后希望各位能在楼下回复一句“谢谢”。
29次下载 2020-09-17 zgaytc

华为IC设计资料合集

资料以网盘形式分享,点击下方附件就可以下载。都是自己从其他地方搜集过来的资料,下载完了后希望各位能在楼下回复一句“谢谢”。
17次下载 2020-09-17 zgaytc

12月28日OpenHarmony开源见面会南京站 ppt资料合集

下载下面资料并在评论区留言评论即可再领5积分(每个账号限领一次)大家不想单个下载的话,也可以扫下面二维码关注公众号,回复”1228“领取完整资料包哦~OpenHarmony开源见面会南京站 - 主论坛OpenHarmony开源见面会南京站 - SIG专场OpenHarmony开源见面会南京站 - 设备专场OpenHarmony开源见面会南京站 - 教育专场
280次下载 2022-03-01 o_dream

PCB设计资料分享:华为、华硕、中兴PCB设计规范文档合集

本帖整合了一些知名企业的PCB设计规范文档资料,供大家参考免费直播课程推荐:5G时代下PCB工程师的机遇与挑战http://t.elecfans.com/live/1023.html
677次下载 2019-11-12 xlong97

开源汇总】精选开源硬件项目汇总

本帖为开源硬件项目分享汇总帖,各位小伙伴可以在帖子下方分享自己的开源项目经历哦~PS.本帖会持续更新,欢迎大家分享各自的经验开源项目1:全志XR32芯片DIY自己的开发板作者:柚木鉉芯片:全志XR32项目简介:本项目使用全志官方支持的FreeRTOS系统,适配自己做的开发板,代码全部开源。项目计划一个大概5cm*2cm的小型开发板板载电源灯与点灯必备IO灯板载USB转UART一个复位按键一个下载按键TF卡槽SPI Flash摄像头CSI的FPC接口板形为通孔+邮票孔外围开源项目2:全志V3S(原理图+PCB文件)作者:24c02芯片:全志V3S项目简介:全志V3S,参照的荔枝派,但是自己加了很多东西,如AP6212,和屏幕接口,改了很多地方,好久没开源项目了,开源个这个吧。芯片好焊便宜价格才十几二十块,还内置64MDDR,二十块钱的芯片还要什么自行车,现在系统已经跑起来了,还在改一些外设驱动。开源项目3:带WiFi的开源D1s开发板 Xassette-Asterisk升级完成作者:SdtEE芯片:全志D1S项目简介:作第一版开源D1s开发板Xassette-Asterisk自公开已经过去一个多月了,在此期间,这个项目在国内外爱好者和科技媒体间颇引起了一些关注,我也非常高兴地看到有数位来自国内外的爱好者成功进行了仿制,充分印证了这个设计很好地达到了易自制的目标。为了不负大家的关注与期待,我在几周前开始了第一版基础上的改进版的设计与验证工作,现在终于可以把成果公开了。开源项目4:全志v3S开发板(原理图+PCB文件)作者:lengyuefeng芯片:全志V3S项目简介:我准备在我购买的荔枝派主板上进行移植linux,花费了一段时间,移植好了uboot,zImage,和根文件系统,最后也成功启动了。开源项目5:全志H3四层板制作分享,从开始学allegro 到完成第一块高速板作者:laplace芯片:全志H3芯片项目简介:作者分享了自己学习Allegro的学习过程, 在参考了论坛大佬们H3的项目分享后,也自己画了PCB文件,想要学习的同学也一起来看看吧!配置:USB*2HDMI耳机按键*11个自定义LED1个MPU6050的陀螺仪DDR3 512M *2EMMC 5.0WIFITF卡开源项目6:小白开源V3S miniPC小电脑作者:MajorTom芯片:全志V3S项目简介:1、板载USB_hub,摄像头网卡均使用外置,所以足够的USB接口十分重要。2、使用TFT_LCD显示屏幕,屏幕驱动芯片为ST7789.3、使用TC8002D功放芯片驱动喇叭(未实现,原因未知,仍在排查)4、串口部分使用CH340N,引脚较少,节省体积。5、电源部分3.3,1.8,1.2使用sy8088aac DC-DC芯片,供电量比较给力,不发热。6、增加用户LED与串口指示灯。7、ADC按键。8、使用四层PCB。开源项目7:基于 CD4047 的 DC 到 AC 逆变器作者:佚名芯片:CD4047项目简介:逆变器是将直流电压转换为交流电压的电气设备。它用于为使用交流电源的其他电气设备供电。这些在停电的情况下用作设备的备用电源。家用电器使用不同电压等级的交流电源工作。在停电的情况下,安装在房屋中的 UPS 开始工作。他们有一个直流电池,但这些电器使用交流电源工作。在这里,逆变器执行从直流到交流的转换,并为电气设备提供电力。该逆变器将 12V 直流电压转换为 220V 交流电压。它们还用于太阳能备用和空调系统。逆变器是 SMPS(开关模式电源)的基本组成部分。开源项目8:Arduino 5合1机器人设计作者:Mert KILIC芯片:ATMEGA328P项目简介:该机器人控制板包含一个 atmega328p 微控制器和一个 l293d 电机驱动器。当然,它与 Arduino Uno 板没有什么不同,但它更有用,因为它不需要另一个屏蔽来驱动电机!它没有跳线杂乱,可以使用 CH340G 轻松编程。在驱动两个直流电机的同时,您还可以通过使用此板的 I/O 引脚来控制不同的传感器。在这个项目中,我们使用了 HC-SR04 超声波距离传感器和 IR 红外传感器。此外,使用了一台伺服电机。开源项目9:使用 LNK304 的 220Vac 到 5Vdc 无变压器电源设计作者:佚名芯片:LNK304项目简介:一个月前,我在修洗衣机主板。在检查过程中,我意识到它配备了用于无变压器电源的LNK304芯片。所以我决定设计一个基于这个芯片的电路,用于你的应用。该电路包含 220VAC 电源输入保护、输出滤波和稳压器。开源项目10:基于esp32+lvgl8.0的哔哩哔哩小电视作者:xiaoxiaoyudu芯片:esp32项目简介:哔哩哔哩小电视 采用esp32作为主控,lvgl8.0界面设计,基于idf4.3开发 支持smartconfig或微信airkiss配网,网络连接显示 网络获取时间 天气显示 温湿度采集 粉丝数显示 mqtt温湿度上传开源项目11:树莓PICO掌上电脑的通用Python教程作者:Peter Misenko芯片:树莓PICO项目简介:特征:尽可能小 100x100x10mm辅助 USB-C 仅用于供电。访问启动按钮带有内部 LED 的奖金闪烁星。IPS 240x240 显示屏/320x240。3种以上压电扬声器免费 GPIO 接头复位按钮电源开关控制内部升降压微型 SD 卡可选 RFM95 LoRa 无线电如果有想要分享经历的小伙伴可以扫描下方二维码添加小助微信
0次下载 2022-04-29 elecfans小能手

学习IC设计的资料合集

直接说正题,帮助一下刚刚入门的朋友们,也算是学习IC设计的一个总结吧。 一、首先要知道自己在干什么? 数字电路(fpga/asic)设计就是逻辑电路的实现,这样子说太窄了,因为asic还有不少是模拟的,呵呵。我们这里只讨论数字电路设计。实际上就是如何把我们从课堂上学到的逻辑电路使用原理图(很少有人用这个拉),或者硬件描述语言(Verilog/VHDL)来实现,或许...
0次下载 2021-11-11 wang21cj

2轴杆控制开源硬件分享

电子发烧友网站提供《2轴杆控制开源硬件分享.zip》资料免费下载
0次下载 2022-07-20 0.01 MB 汗颜的小怪

SNAP板开源硬件

电子发烧友网站提供《SNAP板开源硬件.zip》资料免费下载
0次下载 2022-12-15 0.30 MB

喂机器开源硬件

电子发烧友网站提供《喂机器开源硬件.zip》资料免费下载
1次下载 2023-01-30 0.28 MB 鹏_c2c

开源硬件-警灯

电子发烧友网站提供《开源硬件-警灯.zip》资料免费下载
0次下载 2023-06-09 0.04 MB l-l-l

音箱开源硬件分享

电子发烧友网站提供《音箱开源硬件分享.zip》资料免费下载
3次下载 2023-01-03 2.96 MB 王lunjie

开源硬件的作用&无线互联的作用资料下载

电子发烧友网为你提供开源硬件的作用&无线互联的作用资料下载的电子资料下载,更有其他相关的电路图、源代码、课件教程、中文资料、英文资料、参考设计、用户指南、解决方案等资料,希望可以帮助到广大的电子工程师们。
21次下载 2021-04-08 822.47KB 温暖了我的回忆

心形手表开源硬件

电子发烧友网站提供《心形手表开源硬件.zip》资料免费下载
7次下载 2022-11-04 0.86 MB 天道yearbaby

电竞手柄开源硬件

电子发烧友网站提供《电竞手柄开源硬件.zip》资料免费下载
0次下载 2022-12-21 4.12 MB

门铃开源硬件

电子发烧友网站提供《门铃开源硬件.zip》资料免费下载
0次下载 2022-12-21 0.40 MB 鹏_c2c

智能鞋开源硬件

电子发烧友网站提供《智能鞋开源硬件.zip》资料免费下载
2次下载 2022-12-15 0.00 MB

智能帽开源硬件

电子发烧友网站提供《智能帽开源硬件.zip》资料免费下载
0次下载 2022-12-23 0.04 MB 一個人的
查看更多

暂无数据

上传资料赚积分

7天热门专题

换一换