0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

标签 > FPGA设计

FPGA设计

+关注 0人关注

FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。

文章: 351
视频: 50
浏览: 26250
帖子: 90

FPGA设计简介

  fpga你可以理解成把一堆逻辑器件比如与门,或门,选择器等放在一个盒子里,盒子周围就是片子的引脚。通过逻辑编写,把许多的门和许多选择器等器件串联或并联引脚上。就等于把数电实验在fpga里面做。

  arm的编程指的是c语言或者汇编的编程,arm一条条的读取语句,顺序实现其功能。

  fpga的编程则完全不同。fpga编程本身指的就是编辑其内部的电路结构。fpga运行代码也不是一条条执行的,而是读入代码之后就生成了逻辑门结构。这些逻辑门结构是并行运作的。给输入就同时产生输出。所以fpga的编程语言叫做hdl(硬件描述语言)。hdl中的语句执行是不分先后的。

FPGA设计百科

  FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

  开发

  FPGA的开发相对于传统PC、单片机的开发有很大不同。FPGA以并行运算为主,以硬件描述语言来实现;相比于PC或单片机(无论是冯诺依曼结构还是哈佛结构)的顺序操作有很大区别,也造成了FPGA开发入门较难。目前国内有专业的FPGA外协开发厂家,如[北京中科鼎桥ZKDQ-TECH]等。FPGA开发需要从顶层设计、模块分层、逻辑实现、软硬件调试等多方面着手。

  FPGA怎么理解

  fpga你可以理解成把一堆逻辑器件比如与门,或门,选择器等放在一个盒子里,盒子周围就是片子的引脚。通过逻辑编写,把许多的门和许多选择器等器件串联或并联引脚上。就等于把数电实验在fpga里面做。

  arm的编程指的是c语言或者汇编的编程,arm一条条的读取语句,顺序实现其功能。

  fpga的编程则完全不同。fpga编程本身指的就是编辑其内部的电路结构。fpga运行代码也不是一条条执行的,而是读入代码之后就生成了逻辑门结构。这些逻辑门结构是并行运作的。给输入就同时产生输出。所以fpga的编程语言叫做hdl(硬件描述语言)。hdl中的语句执行是不分先后的。

  工程师谈:FPGA设计之硬件

  做FPGA也有两年了,从刚开始的Verilog开始学起,到后来的最小系统,再到飓风II代开发板设计,到现在的XILINX XC2C系列CPLD开发,覆盖了硬件设计底层的一些经验。其实自己很想玩下FPGA的嵌入式,觉得很有前途的,但是后来自己也只是在学校开发板上过了下瘾。谈谈如何玩FPGA吧

  要了解FPGA首先弄懂它的结构,FPGA是基于LUT结构,在器件选型的时候一般是参考它的逻辑单元的大小,然后是功耗和匹配电平的关系,IO口供电电平;内部PLL供电电平;所有的FPGA工作电压都是在3.3V,2.5V,1.2V三者之间选择,当然一定要弄清楚IO口是基于CMOS还是TTL工艺的,两者不能混淆。

  选好器件后,接下来是原理图设计。在原理图设计中一般要注意去耦电容的容值大小,时钟电路,内部锁相环电路,下载电路。一般AS下载电路还要注意匹配的配置芯片的大小,在器件手册上都能查到,所以在设计前一定要通读对应的器件手册,一般的配置电路手册上都有参考,少走很多弯路。设计好原理图后一般要考虑下面IO口的接法:GCLK/GRST;这些复用的管脚一般不用作普通的IO口。而用作对应的第二功能。

  原理图设计好后重点是PCB的设计,在设计前一定要反复检查原理图,是否有原理上的错误,一定注意电源电路的设计完整性。对于一般的TQFP封装的芯片要注意采用双面板我习惯把电源放在底层,滤波电容也通过过孔置于底层,在设计中当然是先布电源,时钟,最后布信号线了,其中的一些小的细节不用我啰嗦了,想必各位比我还厉害了。

  完成整个硬件电路板的设计后,接下来就是程序的设计了,这里面灵活性很大,在设计中一定要注意语法的严谨性,一个小小的“《=“都会带来无尽的麻烦,在完成程序设计后,一定要进行时序仿真,我一般是先把模块分块利用工具内部波形仿真来查看时序,接着采用SIGNAL TAP II进行内部逻辑分析,这个花了太多时间了,一个仿真就要几个小时。所以还是用TESTBENCH比较实际,注意是测试信号建立时间保持时间是否满足时序要求。

查看详情

fpga设计知识

展开查看更多

fpga设计技术

使用Altera Interface Planner高效设计FPGA引脚布局

使用Altera Interface Planner高效设计FPGA引脚布局

Altera Interface Planner 用于探索设备的外设架构,并高效地分配接口。通过实时进行拟合和合法性检查,防止非法引脚分配。

2024-03-22 标签:pcbFPGA设计Altera 762 0

FPGA设计的IP和算法应用综述

FPGA设计的IP和算法应用综述

IP(Intelligent Property) 核是具有知识产权核的集成电路芯核总称,是经过反复验证过的、具有特定功能的宏模块,与芯片制造工艺无关,可...

2024-03-07 标签:FPGA设计寄存器EDA工具 615 0

请问create_generated_clock该怎么使用呢?

请问create_generated_clock该怎么使用呢?

FPGA设计中,生成时钟分为两大类:自动生成时钟和用户生成时钟。

2024-01-25 标签:FPGA设计PLL电路 556 0

异步复位异步释放会有什么问题?FPGA异步复位为什么要同步释放呢?

异步复位异步释放会有什么问题?FPGA异步复位为什么要同步释放呢?

一般来说,复位信号有效后会保持比较长一段时间,确保 register 被复位完成。但是复位信号释放时,因为其和时钟是异步的关系,我们不知道它会在什么时刻被释放。

2024-01-24 标签:FPGA设计状态机FDR 1083 0

浅析FPGA的调试-内嵌逻辑分析仪(SignalTap)原理及实例

浅析FPGA的调试-内嵌逻辑分析仪(SignalTap)原理及实例

对于FPGA调试,主要以Intel FPGA为例,在win10 Quartus ii 17.0环境下进行仿真和调试,开发板类型EP4CE15F17。

2024-01-12 标签:FPGA设计寄存器状态机 803 0

跨时钟域的解决方案

跨时钟域的解决方案

在很久之前便陆续谈过亚稳态,FIFO,复位的设计。本次亦安做一个简单的总结,从宏观上给大家展示跨时钟域的解决方案。

2024-01-08 标签:FPGA设计fifoCDC 338 0

怎样减少路径上的LUT个数使速度更快呢?

怎样减少路径上的LUT个数使速度更快呢?

对FPGA设计而言如果想速度更快则应当努力减少路径上LUT的个数,而不是逻辑级数。

2023-12-27 标签:FPGA设计LUT 172 0

FPGA设计技巧—多时钟域和异步信号处理解决方案

FPGA设计技巧—多时钟域和异步信号处理解决方案

有一个有趣的现象,众多数字设计特别是与FPGA设计相关的教科书都特别强调整个设计最好采用唯一的时钟域。

2023-12-22 标签:FPGA设计信号处理同步器 887 0

【Soc级系统防御】Soc硬件木马与电子链学习

【Soc级系统防御】Soc硬件木马与电子链学习

随着尖端工艺的代工成本和现代片上系统(system-on-a-chip,SoC)平台设计复杂性的不断提高,曾经局限于一个国家甚至一家公司的IC供应链已经...

2023-11-20 标签:处理器FPGA设计场效应晶体管 807 0

如何设计一个参数化的数据选择器

如何设计一个参数化的数据选择器

在FPGA设计中,大部分情况下我们都得使用到数据选择器。并且为了设计参数化,可调,通常情况下我们需要一个参数可调的数据选择器,比如M选1,M是可调的参数。

2023-11-20 标签:FPGA设计Verilog数据选择器 466 0

查看更多>>

fpga设计资讯

AMD Vivado Design Suite 2023.2的优势

由于市场环境日益复杂、产品竞争日趋激烈,为了加快推出新型自适应 SoC 和 FPGA 设计,硬件设计人员和系统架构师需要探索更为高效的全新工作方式。AM...

2023-11-23 标签:fpgaamdFPGA设计 328 0

fpga跨时钟域通信时,慢时钟如何读取快时钟发送过来的数据?

fpga跨时钟域通信时,慢时钟如何读取快时钟发送过来的数据? 在FPGA设计中,通常需要跨时钟域进行数据通信。跨时钟域通信就是在不同的时钟域之间传输数据...

2023-10-18 标签:FPGA设计fifo缓存器 601 0

采用单芯片加密设计流程的PolarFire FPGA器件

安全当前已成为各垂直市场所有设计的当务之急。今天,有进一步证据向系统架构师和设计人员证明,使用Microchip Technology Inc.(美国微...

2023-09-05 标签:FPGA设计加速器单芯片 910 0

高性能时钟有哪些特点 Xilinx 7系列时钟管理技术解析

  业界高端FPGA的卓越性能和高口碑声誉都有哪些因素了?其中很重要的一个因素就是FPGA内部丰富的时钟资源使得FPGA在处理复杂时钟结构和时序要求的设...

2023-08-31 标签:fpgaFPGA设计Xilinx 537 0

建立一个基于FPGA的动态图片显示基础框架

建立一个基于FPGA的动态图片显示基础框架

前面我们设计了基于FPGA的静态图片显示,并对一幅彩色图片提取了灰度,学习了RGB转Gray算法。

2023-07-08 标签:FPGA设计RAMRGB 461 0

FPGA设计如何最优化

  这是笔者去年某个时间节点的感悟,由于工作繁忙,写完后搁置一边了。而对于“设计最优化”这个议题,笔者也一直深感功力不够,不敢多做阐释。但是,不管怎样,...

2023-06-25 标签:FPGA设计存储器图像处理 376 0

国芯思辰|基于京微齐力FPGA P1P060N0TSF784的工业网络解决方案,可重复编程,具有强大的并行处理能力

国芯思辰|基于京微齐力FPGA P1P060N0TSF784的工业网络解决方案,可重复编程,具有强大的并行处理能力

工业控制网络是用于传输测量和控制的数据通信网络,广泛使用可编程逻辑芯片来作为核心控制芯片。京微齐力提供了基于国产FPGA芯片P1P060N0TSF784...

2022-09-13 标签:FPGA设计工业网络京微齐力 454 0

国芯思辰|京微齐力FPGA CME-M5C06N3Q68(可替代XC6SLX4)应用在图像处理中

国芯思辰|京微齐力FPGA CME-M5C06N3Q68(可替代XC6SLX4)应用在图像处理中

信息化技术的不断提升给了图像处理更大的应用可行性。在图像采集和图像处理领域,京微齐力的FPGACME-M5C06N3Q68正在发挥着巨大作用,良好的特性...

2022-08-29 标签:FPGA设计赛灵思 443 0

国芯思辰|基于京微齐力HME-R(河)系列FPGA CME-HR03PN0Q68的国产激光打印机控制系统解决方案

国芯思辰|基于京微齐力HME-R(河)系列FPGA CME-HR03PN0Q68的国产激光打印机控制系统解决方案

目前国产化打印机技术的研究越来越受到重视,打印机控制器作为打印机核心部件,其安全性对于打印及整机系统的安全性至关重要。利用FPGA芯片高速并行处理的优势...

2022-08-12 标签:FPGA设计激光打印机 495 0

虹科干货 | 如何测试与验证复杂的FPGA设计(3)——硬件测试

虹科干货 | 如何测试与验证复杂的FPGA设计(3)——硬件测试

仿真和验证是开发任何高质量的基于FPGA的RTL编码过程的基础。在前文中,我们介绍了面向实体/块的仿真,并介绍了如何在虹科的IP核中执行面向全局的仿真。...

2022-06-18 标签:FPGA设计 867 0

查看更多>>

fpga设计数据手册

相关标签

相关话题

换一批
  • Protues
    Protues
    +关注
    Proteus软件是英国Lab Center Electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。
  • 静电防护
    静电防护
    +关注
    为防止静电积累所引起的人身电击、火灾和爆炸、电子器件失效和损坏,以及对生产的不良影响而采取的防范措施。其防范原则主要是抑制静电的产生,加速静电泄漏,进行静电中和等。
  • Altium Designer
    Altium Designer
    +关注
  • FPGA芯片
    FPGA芯片
    +关注
    FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。
  • ArduBlock
    ArduBlock
    +关注
    ArduBlock软件是Arduino官方编程环境的第三方软件,目前必须依附于Arduino软件下运行,区别于Arduino文本式编程环境,ArduBlock是以图形化积木搭建的方式编程的,这样的方式会使编程的可视化和交互性加强,编程门槛降低,即使没有编程经验的人也可以尝试给Arduino控制器编写程序。
  • AD10
    AD10
    +关注
  • 识别
    识别
    +关注
  • FPGA开发板
    FPGA开发板
    +关注
    FPGA开发板在基于MCU、定制ASIC和体积庞大的电线束来实现引擎及控制电子的系统方案已发展至接近其技术和应用极限,汽车工业正面临新的设计挑战。过去汽车电子产品的开发周期是漫长的,而许多汽车制造商现正致力于在更短的时间内,装备消费者所需的新一代汽车。
  • PCB封装
    PCB封装
    +关注
    pcb封装就是把 实际的电子元器件,芯片等的各种参数(比如元器件的大小,长宽,直插,贴片,焊盘的大小,管脚的长宽,管脚的间距等)用图形方式表现出来,以便可以在画pcb图时进行调用。
  • QUARTUS II
    QUARTUS II
    +关注
    Quartus II 是Altera公司推出的综合性CPLD/FPGA开发软件,软件支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。
  • PCB封装库
    PCB封装库
    +关注
  • 语音交互
    语音交互
    +关注
  • AD09
    AD09
    +关注
  • PDN
    PDN
    +关注
  • QuickPcb
    QuickPcb
    +关注
  • Artix-7
    Artix-7
    +关注
      Artix-7 系列:相对于 Spartan-6 系列而言,Artix-7 系列功耗降低了一半, 成本降低了 35%,采用小型化封装、统一的 Virtex 系列架构,能满足低成本大批量市场的性能要求,这也正是此前 ASSP、ASIC 和低成本 FPGA 所针对的市场领域。
  • VHDL代码
    VHDL代码
    +关注
  • Protel 99 se
    Protel 99 se
    +关注
  • powerlink
    powerlink
    +关注
  • candence
    candence
    +关注
  • 面包板
    面包板
    +关注
    面包板是由于板子上有很多小插孔,专为电子电路的无焊接实验设计制造的。由于各种电子元器件可根据需要随意插入或拔出,免去了焊接,节省了电路的组装时间,而且元件可以重复使用,所以非常适合电子电路的组装、调试和训练。
  • 特性阻抗
    特性阻抗
    +关注
    特性阻抗又称特征阻抗,它不是直流电阻,属于长线传输中的概念。特性阻抗是射频传输线影响无线电波电压、电流的幅值和相位变化的固有特性,等于各处的电压与电流的比值,用V/I表示。在射频电路中,电阻、电容、电感都会阻碍交变电流的流动,合称阻抗。电阻是吸收电磁能量的,理想电容和电感不消耗电磁能量。
  • AXI
    AXI
    +关注
    AXI是一种总线协议,该协议是ARM公司提出的AMBA3.0协议中最重要的部分,是一种面向高性能、高带宽、低延迟的片内总线。它的地址/控制和数据相位是分离的,支持不对齐的数据传输,同时在突发传输中,只需要首地址,同时分离的读写数据通道、并支持Outstanding传输访问和乱序访问,并更加容易进行时序收敛。AXI是AMBA中一个新的高性能协议。
  • FPGA教程
    FPGA教程
    +关注
  • 驱动电流
    驱动电流
    +关注
  • Kintex-7
    Kintex-7
    +关注
      Kintex-7系列:Kintex-7 系列是一种新型 FPGA,能以不到 Virtex-6 系列一半的价格实现与其相当性能,性价比提高了一倍,功耗降低了一半。
  • 时钟源
    时钟源
    +关注
    时钟源用来为环形脉冲发生器提供频率稳定且电平匹配的方波时钟脉冲信号。它通常由石英 晶体振荡器和与非门组成的正反馈振荡电路组成,其输出送至环形脉冲发生器。
  • 红外触摸屏
    红外触摸屏
    +关注
  • Protel DXP
    Protel DXP
    +关注
  • AD采样
    AD采样
    +关注
      AD转换采样频率指完成一次从模拟转换到数字的AD转换所需时间的倒数,模拟量可以是电压、电流等电信号,也可以是压力、温度、湿度、位移、声音等非电信号;而AD分辨率指数字量变化一个最小量时模拟信号的变化量。

关注此标签的用户(9人)

1114502831 宋从超 唐老鸭 taylors liult03 阮如媛 蓝天之约lc undefined_c1a 三四二一

编辑推荐厂商产品技术软件/工具OS/语言教程专题