0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

片上系统SoC:小身材,大功能(内附设计框架图!)

亿佰特物联网应用专家 2022-08-05 10:39 次阅读

SoC可以说是现今工艺最复杂、生产最高端芯片的技术方案。

SoC

小身材 大功能

SoC即System on Chip,中文名为片上系统,也指系统级芯片,是一个有专用目标的集成电路产品,其中包含完整系统并有嵌入软件的全部内容。同时它又是一种技术,用以实现从确定系统功能开始,到软/硬件划分,并完成设计的整个过程。

智能手机电脑最核心最关键的一颗芯片就是SoC芯片7a17360a-1444-11ed-9ade-dac502259ad0.png

智能手机可能是生活中最多使用且具有SoC的电子产品。手机的大脑,所说的“处理器”就属于SoC,目前主流的手机SoC有骁龙8Gen1、麒麟9000、天玑9000等。这些SoC都集成了CPU(中央处理器)、GPU(图形处理器)、NPU(嵌入式神经网络)、ISP(图像处理),以及通信基带和存储等等,将众多的功能单元集成在一个芯片上组合成为一个系统。简单说来,SoC就是集CPU、GPU、存储、显示等功能于一体的芯片。麻雀虽小,五脏俱全。综上可以看出,SoC的集成度极其高,这减少了外围模和电路的使用,这对缩短开发周期和减少开发成本是非常有益的。目前电脑CPU也有朝SoC发展的趋势,部分型号的CPU将内存、Wi-Fi等集成至CPU中,使得有更小体积的电脑出现。以麒麟9000为例,集成Cortex内核、Mali系列GPU、5G基带等功能,而在很多厂商设计手机SoC身上,我们都可以看到Cortex内核的身影,这体现了SoC的IP核可以重用的特点;而且,其使用的Cortex内核中,使用了四个Cortex-A77和四个Cortex-A75,这也很符合SoC可以具有多个IP核心的特征。另外,对SoC性能影响最大的:CPU单核、CPU多核和GPU。CPU多核性能关键看架构:X2≈X1>A78>A77>A76>>A75>A73>>>A55/A53(构架相同时,频率越高越强;其他相同时,四大核比自然双大核强)。同级产品的CPU和GPU性能一般都是对应的。

SoC

分类与设计

手机的SoC可以说是属于高端的SoC;相对的,也有低端的SoC、中低端的SoC。低端的SoC可以简单理解为MCU内核加上特定的功能外设,多使用的MCU内核有8051内核及 Cortex-M4 内核,例如,TI设计生产的蓝牙SoC——CC2541(亿佰特具有对应产品:E104-BT01)使用的8051内核,同样也是TI生产设计的蓝牙SoC——CC2640(亿佰特也有对应产品:E72-2G4M05S1B)使用的Cortex-M3内核。下图为CC2541的简化结构框图:从中可以看出,该SoC包含了51内核、内存管理、其他外设等,而其特定的功能便为蓝牙射频功能。可以说,不同的SoC适用于不同的领域。7a4355be-1444-11ed-9ade-dac502259ad0.jpg

SoC设计流程(1)

SoC的设计需要软硬件的同步设计。根据设计需求,进行仿真和建模,根据功能划分软件和硬件部分,在软件和硬件协同完成,经过测试,投产,便得到了所使用的SoC。下图为SoC设计流程:7a5ff2d2-1444-11ed-9ade-dac502259ad0.jpg

SoC设计流程(2)

高级别的SoC芯片,比如华为海思的麒麟990——现今市面上最先进的手机处理器芯片,集成了8核CPU、16核GPU和3核NPU构成的神经网络人工智能模块、高速闪存控制模块、图像处理模块、音频视频处理模块等等。与麒麟980相比,还增加了5G模块。这种高级别的SoC需要十分复杂的设计和加工,高端SoC芯片的CPU、GPU、NPU这些模块都得使用最先进的工艺技术,成本高、工艺复杂、成品率低。如麒麟990采用台积电7纳米加工工艺,7纳米节点大概需要4000多道工序,其中仅光刻掩膜成本就得花费上千万美元,而整体的芯片设计成本高达上亿美元。因此,整颗芯片的造价才会如此昂贵。

SIP

先进封装技术

除了SoC,有时也还会听说SIP(System in a Package),即系统级封装。比较粗略地来看,SoC与SIP都是把逻辑组件和内存组件等整合到一个系统中,但两者的区别在于,SoC是从设计的初始,它就是一个集合的单独的芯片;而SIP是以封装的技术,将所需的功能模块(模块也可单独使用或者挂载外部使用)封装在一起从而实现一个基本完整的功能。以亿佰特产品E78-900M系列采用的ASR6505和E76系列采用的EFR32FG1P131为例。ASR6505是一颗SIP封装,集成STM 8位核心(STM8L152)和LoRa(SX1262)无线电收发器的通用的LoRa无线通信芯片组,也属于MCU一类。下图为ASR6505的模块框图,ASR6505引出来的引脚既有STM8的、也有SX1262的引脚,其中SX1262与MCU通信相关的SPI引脚、DIO引脚、BUSY引脚已经在芯片内部连接在了一起。外部引出了晶振、UARTI2C等引脚用于芯片其他功能的扩展和开发。对此芯片进行开发时我们完全可以按照STM 8的开发方式进行。7a71432a-1444-11ed-9ade-dac502259ad0.png

ASR6505 和 ASR LoRa通信模块的框图

对于E76系列采用的EFR32,就属于SoC了。如下图,可以看出,EFR32芯片内部系统包含了CPU(使用ARM的Cortex-M4内核)和存储部分,时钟管理,电源管理,无线电(包含了蓝牙4.2,Sub-G等),通信串行总线,I/O,定时器模拟接口和安全功能等。这些功能集合在单一的芯片上,完成了一个电子系统的功能。SIP和SoC皆有各自的优势和特点,对于SoC,受限于技术障碍,设计周期等,SIP的发展在业界中愈被重视。
7a8f0c7a-1444-11ed-9ade-dac502259ad0.png

EFR32系统框图

国产 SoC

发展现状

高端的SoC芯片目前具备研发实力的是苹果、三星和华为。华为海思的麒麟系列SoC是华为自主设计研发,其对标苹果A系列、高通骁龙,以及三星的猎户座处理器。但因受制裁影响,台积电不再为华为代工。华为海思倒下后,紫光展锐被认为是国内SoC领头羊,成为“后海思时代”最被看好的国产芯片厂商之一。紫光展锐已在物联网领域开拓出自己的道路。据Counterpoint最新研究报告显示,紫光展锐在2021年第三季度全球蜂窝物联网芯片市场占据26.8%的市场份额排名第二,并在LTE Cat.1芯片细分赛道上超越了高通成为全球第一。此外,消费级SoC中瑞芯微、晶晨股份、富瀚微、乐鑫科技等也值得看好。瑞芯微是国内音视频主控SoC的先锋,晶晨股份是国内多媒体终端SoC龙头,是国内极少数的电视芯片供应商,乐鑫科技其Wi-Fi MCU SoC通信芯片及其模块在全球市场份额中排名第一,富瀚微ISP芯片的ASP是该领域龙头。目前国内SoC芯片产品覆盖还算广泛,但底层架构依然依赖于国际的ARM以及X86架构。受制裁影响,国产SoC芯片发展之路任重而道远。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • soc
    soc
    +关注

    关注

    38

    文章

    3740

    浏览量

    215642
收藏 人收藏

    评论

    相关推荐

    简谈Xilinx Zynq-7000嵌入式系统设计与实现

    到PL和PS中,这样就可实现独一无二和差异化的系统功能。与传统的FPGA方法不同的是,ZYNQ 7000 SoC总是最先启动PS的处理器,这样允许PS
    发表于 04-10 16:00

    什么是片上系统soc?片上系统soc主要包括什么?

    片上系统SoC,System on Chip)是一种将计算机系统的许多元素组合到单个芯片中的集成电路。它不仅仅是一个微控制器,而是包含完整的系统并有嵌入软件的全部内容。同时,
    的头像 发表于 03-28 15:06 228次阅读

    如何正确配置AD9957RAM?

    基本正常,我觉得SPI接口以及外围硬件不会有问题,问题应该在时序。但是9957手册对RAM数据读写所言甚少,ADI网站上的能找到的参考代码页都没有涉及RAM读写的部分。不知哪位专家能够指点一下,到底如何正确配置9957RA
    发表于 12-13 06:51

    SoC底层软件低功耗系统设计与实现》阅读笔记

    本帖最后由 缪靠斯兔 于 2023-10-18 12:51 编辑 花了一些时间阅读完了这本《SoC底层软件低功耗系统设计与实现》,收获良多,行业前辈的SOC底层软件的设计和调试经验,着实可贵
    发表于 10-18 03:27

    AXI寄存器功能

    此配置中未使用时序隔离。 通道的主、从接口直接连接。 4显示了旁路模式。 您可以将寄存器包括在系统设计中,而无需考虑需求。 如果初始合成运行后显示通过互连的关键路径,则可以将寄存器
    发表于 08-21 06:00

    系统级芯片SoC:汽车系统级芯片概览及AEC-Q100车规

    SUBSCRIBEtoUSSoC,系统级芯片,汽车系统SoC主要面向两个领域,一是驾驶舱,二是智能驾驶,两者的界限现在越来越模糊。随着汽车电子架构的演进,新出现了网关SoC,典型的代
    的头像 发表于 08-18 08:28 3075次阅读
    车<b class='flag-5'>系统</b>级芯片<b class='flag-5'>SoC</b>:汽车<b class='flag-5'>系统</b>级芯片概览及AEC-Q100车规

    ARM CoreSight™系统SoC-600M技术参考手册

    本书是为以下读者撰写的: ·希望将酷睿思™SoC-600M整合到他们的设计中并从SoC产生实时指令和数据跟踪信息的硬件和软件工程师。 ·编写使用酷睿™SoC-600M的工具的软件工程师。 本书假定读者熟悉AMBA®Bus设计和J
    发表于 08-18 06:31

    Arm Corstone™ SSE‑123子系统技术概述

    SSE‑123示例子系统集成了一个由关键Arm组件组成的子系统,这些组件实现了针对物联网(IoT)系统
    发表于 08-10 07:40

    Arm Corstone SSE-123子系统技术参考手册

    SSE‑123示例子系统集成了关键Arm组件的子系统,实现针对物联网(IoT)系统SoC
    发表于 08-09 06:00

    ARM946E-S (Rev0) 系统DSP增强处理器产品概述

    )AHB(高级高性能总线)接口。它是ARM9E-S Thumb®系列高性能32位系统SoC)处理器的一员,非常适合各种嵌入式应用。指令和数据缓存以及指令和数据SRAM的大小可单独
    发表于 08-02 17:50

    设计一个系统-为安全的物联网创建一个系统设备

    本指南适用于系统设计人员,可能使用Arm Flexible access。我们假设您希望为安全的物联网设备开发片系统(SoC),并且您打算SoC
    发表于 08-02 09:33

    基于FPGA的SoC创建方案

    LiteX 框架为创建 FPGA 内核/SoC、探索各种数字设计架构和创建完整的基于 FPGA 的系统提供了方便高效的基础架构。
    发表于 06-28 09:08 463次阅读
    基于FPGA的<b class='flag-5'>SoC</b>创建方案

    ICP可以将代码下载到的SPI Flash中?

    1. IAR 调试NUC505时,感觉代码是在 SRAM中运行,那么IAR如何将代码下载到的 SPI Flash中? 2. ICP 可以将 代码下载到的 SPI Flash中?
    发表于 06-16 08:18

    F103的FLASH不能用DFS?

    Flash的应用,是不支持FLASH?不支持的话我想非阻塞的接收socket数据就不能用select了?那我应该用什么方式呢?多谢各位了。。。
    发表于 05-11 11:16

    MCU和SOC有什么区别吗?

    只运行实时操作系统(RTOS),不会上Linux,更多的是”裸机”编程。 二、什么是SOC 低端的SOC就是内部集成了MCU+特定功能模块外设。 高端的
    发表于 05-04 15:09