0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

集创赛“芯海杯”系列培训(二)| Sigma-Delta ADC架构及系统级建模

芯海科技(深圳)股份有限公司 2022-04-20 11:10 次阅读

4月15日晚,第六届集创赛“芯海杯”赛事系列培训再次与全国高校参赛学子们云端相约。继3月11日首场赛题培训《聚芯成海——芯海杯赛题剖析线上答疑会》之后,芯海科技模拟信号芯片领域资深专家、“芯海杯”赛题导师丁召明博士再次带来《Sigma-Delta ADC架构及系统级建模》的精彩讲解。

赛题更新的特别提醒

为了方便各参赛队伍更加精准地理解“芯海杯”赛题任务,在此次赛题培训课程中,丁召明博士再次特别提醒各位参赛同学要注意集创赛官网上“芯海杯”赛题设计指标的更新

第六届集创赛官网“芯海杯”赛题链接地址:

http://univ.ciciec.com/nd.jsp?id=462#_jcp=1

第六届集创赛企业杯赛打造了七大技术赛道,每个赛道均有多个企业杯赛题目,覆盖集成电路产业链关键环节,现已于2022年3月31日截止报名,后续将逐步进入火热的初赛、分赛区决赛、全国总决赛等阶段赛事。

据主办方的数据统计,本届集创赛报名有全国高校311所,本硕博团队4029支,参赛选手超万名,参赛规模已经超过上届,既有往届强校依然实力强劲,同时也有大批新进院校积极勇进,呈现出百舸争流、浪遏飞舟的激烈态势。

82fb7f80-bf3d-11ec-82f6-dac502259ad0.png

第六届集创赛企业杯赛各赛道参赛队伍统计

其中,本届“芯海杯”的《24位高精度Sigma-Delta ADC设计》赛题作为“模拟与混合信号电路设计赛道”的主要企业杯赛题,也是业界首次ADC杯赛,自发布以来,深受全国高校本硕博各个阶段学子们的瞩目和热烈参与。截至目前参加“芯海杯”赛题报名队伍统计有:

830afc30-bf3d-11ec-82f6-dac502259ad0.png

第六届集创赛“芯海杯”高精度ADC赛题

参赛队伍数据统计

本届“芯海杯”赛题的终极意义是:做正确而不容易的事。ADC因其具有设计难度极高,涉及工艺、电路、系统等高技术壁垒,被誉为模拟电路皇冠上的明珠。“芯海杯”高精度ADC赛题能够获得全国高校众多集成电路专业学子们的广泛关注,充分体现了本届参赛学子们勇于挑战、迎难而上、奋勇向前的专业视野和精神面貌。

随着赛事逐渐深入,芯海科技后续仍为广大参赛学子们悉心准备了丰盛的系列培训活动,助力更多参赛队伍脱颖而出,引导更多参赛学子们深入学习ADC技术应用,为我国模数混合集成电路产业发展培育更多英才。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • adc
    adc
    +关注

    关注

    95

    文章

    5643

    浏览量

    539298
收藏 人收藏

    评论

    相关推荐

    RZ MPU Delta-sigma的工作原理 Delta-Sigma的应用简介

    目前隔离式Delta-Sigma模数转换器在伺服驱动的相电流检测中得到越来越广泛的应用。
    的头像 发表于 03-22 13:55 1099次阅读

    关于在PSoC 5LP上驱动Delta Sigma ADC的问题求解

    我想问一些关于在 PSoC 5LP 上驱动 Delta Sigma ADC 的问题。 首先,简单介绍一下我的用例:我想使用 Delta Sigma
    发表于 01-23 07:05

    MAX11214: 24-Bit, 5mW, 140dB SNR, 32ksps Delta-Sigma ADC with Integrated PGA Data Sheet MAX11214: 24-Bit, 5mW, 140dB SNR, 32ksps Delta-Sigma

    电子发烧友网为你提供ADI(ADI)MAX11214: 24-Bit, 5mW, 140dB SNR, 32ksps Delta-Sigma ADC with Integrated PGA Data
    发表于 10-17 18:35
    MAX11214: 24-Bit, 5mW, 140dB SNR, 32ksps <b class='flag-5'>Delta-Sigma</b> <b class='flag-5'>ADC</b> with Integrated PGA Data Sheet MAX11214: 24-Bit, 5mW, 140dB SNR, 32ksps <b class='flag-5'>Delta-Sigma</b>

    ADFS7124-4: 4-Channel, Low Noise, Low Power, 24-Bit, Sigma-Delta ADC with PGA and Reference Data Sheet ADFS7124-4: 4-Channel, Low Noise, Low

    电子发烧友网为你提供ADI(ADI)ADFS7124-4: 4-Channel, Low Noise, Low Power, 24-Bit, Sigma-Delta ADC with PGA
    发表于 10-12 18:31
    ADFS7124-4: 4-Channel, Low Noise, Low Power, 24-Bit, <b class='flag-5'>Sigma-Delta</b> <b class='flag-5'>ADC</b> with PGA and Reference Data Sheet ADFS7124-4: 4-Channel, Low Noise, Low

    ADFS7124-8: 8-Channel, Low Noise, Low Power, 24-Bit, Sigma-Delta ADC with PGA and Reference Data Sheet ADFS7124-8: 8-Channel, Low Noise, Low

    电子发烧友网为你提供ADI(ADI)ADFS7124-8: 8-Channel, Low Noise, Low Power, 24-Bit, Sigma-Delta ADC with PGA
    发表于 10-12 18:30
    ADFS7124-8: 8-Channel, Low Noise, Low Power, 24-Bit, <b class='flag-5'>Sigma-Delta</b> <b class='flag-5'>ADC</b> with PGA and Reference Data Sheet ADFS7124-8: 8-Channel, Low Noise, Low

    AD4129-8:32 微A,超低功率,16-Bit Sigma-Delta ADC,综合PGA和FIFO数据表 ADI

    电子发烧友网为你提供ADI(ADI)AD4129-8:32 微A,超低功率,16-Bit Sigma-Delta ADC,综合PGA和FIFO数据表相关产品参数、数据手册,更有AD4129-8
    发表于 10-10 19:21
    AD4129-8:32 微A,超低功率,16-Bit <b class='flag-5'>Sigma-Delta</b> <b class='flag-5'>ADC</b>,综合PGA和FIFO数据表 ADI

    AD4131-8:32 微A,超低功率,16-Bit Sigma-Delta ADC,综合PGA数据表 ADI

    电子发烧友网为你提供ADI(ADI)AD4131-8:32 微A,超低功率,16-Bit Sigma-Delta ADC,综合PGA数据表相关产品参数、数据手册,更有AD4131-8
    发表于 10-10 19:18
    AD4131-8:32 微A,超低功率,16-Bit <b class='flag-5'>Sigma-Delta</b> <b class='flag-5'>ADC</b>,综合PGA数据表 ADI

    AD7124-8:8个通道、低噪音、低功率、24Bit、Sigma-Delta ADC,PGA和参考数据表 ADI

    电子发烧友网为你提供ADI(ADI)AD7124-8:8个通道、低噪音、低功率、24Bit、Sigma-Delta ADC,PGA和参考数据表相关产品参数、数据手册,更有AD7124-8:8个通道
    发表于 10-10 18:35
    AD7124-8:8个通道、低噪音、低功率、24Bit、<b class='flag-5'>Sigma-Delta</b> <b class='flag-5'>ADC</b>,PGA和参考数据表 ADI

    STM32F37x/38x SDADC(Sigma-Delta ADC)入门

    电子发烧友网站提供《STM32F37x/38x SDADC(Sigma-Delta ADC)入门.pdf》资料免费下载
    发表于 09-21 11:29 3次下载
    STM32F37x/38x SDADC(<b class='flag-5'>Sigma-Delta</b> <b class='flag-5'>ADC</b>)入门

    增量式Sigma-Delta ADC与传统结构的区别在哪?

    Sigma-Delta ADC设计中,应用于高精度窄带信号,例如生物医疗,仪表测量等领域的Sigma-Delta ADC通常被称为增量式(Incremental)
    的头像 发表于 07-03 16:54 1479次阅读
    增量式<b class='flag-5'>Sigma-Delta</b> <b class='flag-5'>ADC</b>与传统结构的区别在哪?

    深入理解sigma-delta调制技术

    分享第一个Topic,sigma-delta技术。
    的头像 发表于 06-02 15:28 3322次阅读
    深入理解<b class='flag-5'>sigma-delta</b>调制技术

    sigma_delta和PWM的区别是什么?

    我听说 esp8266 没有硬件 PWM,PWM 库使用内部定时器来驱动 PWM 等输出。但我最近发现了 esp8266 的 delta_sigma 引脚驱动器兼容性并且很高兴,因为我终于可以通过它
    发表于 05-31 06:13

    2.0V至4.5V,主频8MHz/16MHz 高精度测量SoC—CMS8H120x系列

    主频8MHz/16MHz;工作电压2.0V至4.5V;提供4KB ROM,256B RAM ,128B EEPROM ;内置24位高精度Sigma-Delta ADC,支持2路差分输入,增益可选2
    发表于 05-17 09:17

    delta-sigma调制过后的高频噪声是怎么去掉的?

    有没人了解或研究过delta-sigma DPWM,问下这里的delta-sigma 调制有没有应用类似delta-sigma ADC里面的过采样? 另外,说是
    发表于 05-06 17:43

    PCM固件模块如何设置Sigma Delta硬件?

    我正在调用 PCM 固件模块来合成音频并驱动扬声器。它工作得很好,但 PCM 以 4MHz 运行,这对于我的特定输出驱动器(H 桥驱动器)来说有点太快了。另一方面,Sigma Delta 固件模块以
    发表于 04-26 08:58