0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

【虹科】深入了解GigE Vision的优势

虹科智能感知 2022-04-02 14:32 次阅读

虹科智能感知事业部专注于智能感知与机器视觉领域,已经和IDS,Blickfeld和Gidel等有着重要地位的国际公司展开深度的技术合作。我们的解决方案包括3D激光雷达,工业相机,视觉处理平台,图像采集卡及视觉系统集成等。虹科的工程师积极参与国内外专业协会和联盟的活动,我们非常重视技术培训和积累,公司定期与国内外专家团队进行交流和培训。

4c18073e-b1d9-11ec-82f6-dac502259ad0.png

1

4c22315a-b1d9-11ec-82f6-dac502259ad0.png

GigE相机演进的简要背景

2010 年,虹科的合作伙伴推出了第一款具有10GigE接口的相机。不断升级的图像传感器和对更高速接口的需求引领2018年第一批25GigE相机的发布。不断提高的传感器速度再次推动了高速视觉需求,到2020年出现了100GigE的相机,如图1所示。


4c3046be-b1d9-11ec-82f6-dac502259ad0.png

图1 获得2021年视觉系统设计创新者奖的100GigE相机

现今市面上有很多GigE Vision解决方案和配件可供选择。通常,相机决定分辨率和帧率,这是高速成像应用中的决定因素。然而,配件和集成在图像采集框架中也发挥着重要作用。由一家著名的机器视觉技术公司提供完整的解决方案,并就经过良好测试的采集架构提供建议,以提供超高速应用所需的性能,会保证客户的开发速度和系统稳定性。


4c18073e-b1d9-11ec-82f6-dac502259ad0.png

2

4c22315a-b1d9-11ec-82f6-dac502259ad0.png

传统到优化的GigE

传统的GigE图像采集模型如图 2 所示。当 1 GigE 不是最佳选择时,基于Intel PRO/1000的网卡 (NIC)更适合实现更高性能的驱动程序。

4c849282-b1d9-11ec-82f6-dac502259ad0.png

图2传统 GigE Vision图像采集的数据路径

图3所示的优化GigE模型提供远程直接内存访问 (RDMA) 和RDMA over融合以太网(RoCE)。该系统提供低CPU占用率和无丢失帧的特点,具有与CoaXPress (CXP)相同的低抖动和低延迟。

4cae0a4a-b1d9-11ec-82f6-dac502259ad0.png

图3 在更高的速度下,网卡技术已经准备就绪。这些技术包括 RDMA和RoCE
RDMA优化的GigE模型提供从一台主机(存储或计算机的内存到另一台主机的内存的直接访问,而无需涉及远程操作系统和CPU。RoCE是支持RDMA在以太网网络上进行高效数据传输的标准协议,允许通过硬件RDMA引擎实现进行传输卸载。这种设计网络优化了性能,具有更低的延迟、更低的CPU负载和更高的带宽。


4c18073e-b1d9-11ec-82f6-dac502259ad0.png

3

4c22315a-b1d9-11ec-82f6-dac502259ad0.png

GigE Vision 的优势


随着IEEE标准化和GigE解决方案的成功,制造商在硬件、软件和附件方面有很多选择。例如,GigE Vision解决方案可以使用现成的NIC或FPGA卡直接连接到100GigE相机,从而降低系统复杂性。


成像系统设计的一个驱动因素是对更先进机器视觉的需求。例如,图形处理单元(GPU)在涉及更高速度、3D成像和深度学习的应用中得到了越来越多的使用。集成GPU可以引入AI解决方案来驱动高级成像系统。AI处理技术的一项重大进步是NVIDIA的BlueField-2,它将NIC和GPU组合成一个单卡解决方案。这些创新不断发展将会不能提升机器视觉成像技术的能力。



4ce41fc2-b1d9-11ec-82f6-dac502259ad0.png

图4两台25GigE相机连接到NVIDIA Xavier的示例

借助第三方现成配件、GPU支持、快速数据传输和提高速率;GigE相机的优势正在扩大工厂之外的使用范围。如图4所示,两个具有双NIC接口和NVIDIA Xavier GPU的25 GigE相机为无人机系统部署提供了精确的架构。

随着成像解决方案不断扩展应用范围,GigE Vision降低复杂性的能力对OEM来说越来越重要。此外,借助提供低成本接线的 SFP+ (10G)、SFP28 (25G) 和 QSFP28 (100G),单模光纤的传输距离可达10 公里甚至更远。线缆长度在相机可能需要远离PC的应用中被证明是至关重要的,例如监控、运输和运动技术。简而言之,采用GigE相机的好处包括:

  • 超高数据和帧速率
  • 覆盖长距离的配件和布线选项
  • 相机与PTP(精确时间协议)同步
  • 相机多路复用和多播
  • 低CPU占用率、低延迟和低抖动
  • IEEE标准化带来的强大跨行业接受度
  • 具有竞争力的成本和性能

4c18073e-b1d9-11ec-82f6-dac502259ad0.png

4

4c22315a-b1d9-11ec-82f6-dac502259ad0.png

虹科产品:HK HZ-65000-G: 100GigE 相机

4d131674-b1d9-11ec-82f6-dac502259ad0.png

4d1f568c-b1d9-11ec-82f6-dac502259ad0.png

4d47c496-b1d9-11ec-82f6-dac502259ad0.png


关键特点:
(1)高速QSFP28–100GigE接口
(2)分辨率高达65.4MP,帧率高达71fps(3)100倍于GigE的速度
(4)符合GigEVision和Genicam
(5)提供单色和彩色选项
(6)提供无风扇和IP67选项

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 相机
    +关注

    关注

    4

    文章

    1241

    浏览量

    52268
  • 机器视觉
    +关注

    关注

    160

    文章

    3976

    浏览量

    118049
收藏 人收藏

    评论

    相关推荐

    一键解锁:将任意图像设备秒变GigE Vision设备的终极秘诀

    ​物联网发展正在走向轻便和低成本。友思特eBUS Edge软件方案,可将任意图像设备一键升级为GigE Vision设备,让机器视觉便捷处理更进一步。
    的头像 发表于 03-13 17:20 129次阅读
    一键解锁:将任意图像设备秒变<b class='flag-5'>GigE</b> <b class='flag-5'>Vision</b>设备的终极秘诀

    S参数:深入了解与实际应用

    以一个无源二端口网络为例,深入介绍S参数。信号在传输过程中会产生入射波和反射波,既有进入端口的信号也有从端口中出来的信号。
    的头像 发表于 01-23 11:20 222次阅读
    S参数:<b class='flag-5'>深入了解</b>与实际应用

    带您深入了解ITO薄膜的方阻与影响方阻的因素

    在太阳能电池的沉积工艺中,制备高性能的ITO薄膜是其首要任务。电池厂商在制备ITO薄膜时,往往需要考虑自身的方阻与影响ITO薄膜方阻的因素,从而在了解的基础上更好的解决对ITO薄膜方阻有不利
    的头像 发表于 12-28 08:33 389次阅读
    带您<b class='flag-5'>深入了解</b>ITO薄膜的方阻与影响方阻的因素

    深入了解Linux中vi命令的使用

    深入了解Linux中vi命令的使用 VI是一款在Linux系统中使用的文本编辑器,它是一款功能强大、灵活性高的编辑器。VI编辑器具有非常高效的命令行操作方式,并且在各个版本的Linux中都得到了广泛
    的头像 发表于 12-25 11:15 169次阅读

    GMSL相机是GigE Vision相机最有力的替代方案吗?

    GigE Vision是基于以太网基础架构和协议的网络相机接口标准。它广泛用于工业领域。ADI公司的 GMSL 是一种专门用于视频数据传输的点对点串行链路技术,最初是为汽车摄像头和显示应用而设计的。
    的头像 发表于 12-21 18:21 611次阅读

    深入了解 GaN 技术

    深入了解 GaN 技术
    的头像 发表于 12-06 17:28 2347次阅读
    <b class='flag-5'>深入了解</b> GaN 技术

    如何了解学校宿舍、商业店铺预付费系统

    深入了解远程预付费平台,可以从技术架构、功能特点、安全性、行业应用案例、售后服务和未来发展等方面进行深入了解和探讨。
    的头像 发表于 11-07 15:49 138次阅读
    如何<b class='flag-5'>了解</b>学校宿舍、商业店铺预付费系统

    览邦WACH MAX-A90智能手表:它的优势到底在哪?一文带你深入了解

    ,尤其是HAMI丨Android OS 10.0双系统运行流畅稳定。以及突破现有蓝牙芯片升级MT2822低功耗芯片技术屏障,高速6G运行和128G大容量内存,可以轻松应对各种复杂任务。带给用户前所未有的极致用机体验。那么,它的优势到底在哪?本文将带您深入了解这款智能手表,
    的头像 发表于 08-10 23:07 419次阅读

    览邦F9 FreeBuds Plus降噪耳机:优势到底在哪?一文带你深入了解

    随着科技的发展,降噪耳机逐渐成为人们追求宁静音符的得力助手。览邦F9 FreeBuds Plus多模式降噪耳机作为市场上的热门产品,其优势备受关注。本文将深入解析这款耳机的各项功能,探究其优势所在
    的头像 发表于 08-09 21:32 252次阅读

    孔环是什么?深入了解孔环有助于实现PCB设计

    本文将探讨孔环,因为更深入了解孔环有助于确保成功地实现PCB设计。
    的头像 发表于 07-19 10:21 2387次阅读
    孔环是什么?<b class='flag-5'>深入了解</b>孔环有助于实现PCB设计

    深入了解安全光栅

    深入了解安全光栅
    的头像 发表于 06-25 13:53 665次阅读
    <b class='flag-5'>深入了解</b>安全光栅

    深入了解电动牙刷的构造及原理

    电动牙刷作为一款便捷、时尚的消费电子产品,深得很多用户的喜爱。您知道电动牙刷是怎么工作的吗?它里面有用到哪些分立器件产品?本文带您深入了解电动牙刷的构造及原理。
    发表于 06-05 11:51 1688次阅读
    <b class='flag-5'>深入了解</b>电动牙刷的构造及原理

    带你深入了解RS485总线

    做工程很多时候会提到RS485控制线,它到底是什么呢?今天我聊聊RS485相关的应用,深入了解RS485,你会发现里面的知识确实有很多,那么我们就选择一些平时在弱电中会考虑到的问题供大家了解
    发表于 05-16 14:13 7314次阅读
    带你<b class='flag-5'>深入了解</b>RS485总线

    深入分析信号链设计,助你了解CTSD技术的关键优势

    本身具有架构优势,简化了信号链设计,从而缩减了解决方案尺寸,有助于客户缩短终端产品的上市时间。为了说明CTSD ADC本身的架构优势及其如何适用于各种精密中等带宽应用,我们将深入分析信
    的头像 发表于 04-18 21:35 554次阅读

    深入了解SpringBoot的自动配置原理

    通过这篇文章我们来深入了解SpringBoot的自动配置原理,并分析SpringBoot是如何神不知,鬼不觉的帮我们做了那么多的事情,让我们只需要关心业务逻辑开发就可以了。
    的头像 发表于 04-07 11:22 631次阅读
    <b class='flag-5'>深入了解</b>SpringBoot的自动配置原理