0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Quartus软件使用技巧—无需全编译更新mif文件

骏龙电子 来源:骏龙电子 作者:骏龙电子 2022-12-20 14:36 次阅读

随着器件容量的增大,设计复杂度的增加,用户在使用 Quartus 软件工程全编译时,与以往相比要耗费更长的时间。目前在 Arria10,Stratix10 等器件上,资源消耗在 50% 以上的设计,通常需要几个小时,甚至十几个小时的编译时间,才可以生成烧写 sof 文件。这极大地降低了工程师的工作效率与调试速度。

在应用与调试的过程中,可能会有需要使用 rom IP 资源存储一些原始配置数据、测试激励数据等应用,随时改变 mif 文件里面的初始值的需求。本文为大家介绍在不需要重新编译工程的情况下,如何更新已经编译成功的 sof 文件中 mif 文件的内容。

设置 mif 原始文件

根据 mif 文件格式要求,创建 mif 文件,存入原始数据。mif 文件格式与数据,如下图 (图1) 所示:

917fca60-802c-11ed-8abf-dac502259ad0.jpg

图1 mif 文件格式与数据

将 mif 文件的路径映射到对应的 rom IP 中,如下图 (图2) 所示。并将工程全编译生成 sof 文件,正常使用。

919eede6-802c-11ed-8abf-dac502259ad0.jpg

图2 mif 文件路径映射

更新 mif 原始文件内容

将 mif 文件的内容做替换,要注意路径一致,不需要重新生成 IP,且文件名字不能发生变化。然后在 Quartus 软件界面的 Processing 选项下面选择 updata memory initialization file 选项,如下图 (图3) 所示:

91ce9cd0-802c-11ed-8abf-dac502259ad0.jpg

图3 Quartus 软件更新 mif 文件

等待软件自动更新,显示更新对应的 mif 文件成功以后,如下图 (图4) 所示。再重新执行 Assembler (generate programming file),生成新的 sof 文件即可。

9203cb1c-802c-11ed-8abf-dac502259ad0.jpg

图4 Quartus 软件更新 mif 状态

总结

随着器件容量的增大,FPGA 软件编译时间动辄几个小时。在无需重新编译工程的情况下,仅更新 mif 文件,能够较大地提高了研发工程师的效率,这也体现了新版本 Quartus 软件人性化的功能。

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 文件
    +关注

    关注

    1

    文章

    540

    浏览量

    24398
  • quartus
    +关注

    关注

    16

    文章

    169

    浏览量

    74267
  • 编译
    +关注

    关注

    0

    文章

    613

    浏览量

    32371
  • MIF
    MIF
    +关注

    关注

    0

    文章

    4

    浏览量

    6072

原文标题:Quartus 软件使用技巧 — 无需全编译更新 mif 文件

文章出处:【微信号:骏龙电子,微信公众号:骏龙电子】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    quartus2 mif文件生成软件(各种波形、任意点数)

    quartus2 mif文件生成软件,可生成各种波形、任意点数,并且能手动画图
    发表于 08-12 09:08

    关于Quartus II中ROM初始化数据.mif格式文件,在Modelsim中仿真...

    各位大哥;小弟最近刚学FPGA,有个问题不懂,希望各位路过的大哥不吝赐教!我在Quartus II中调用了一个lpm_rom,用存放正弦波数值的.mif 格式文件去初始化rom.编好
    发表于 03-24 18:02

    quartus2的rom定制后mif文件被自动改写怎么解决

    ,0e,0c....这样的数据怎么解决是我的软件安装有问题呢还是设置哪里出错了已解决:方法是将mif文件属性改成只读
    发表于 05-03 13:04

    Quartus ii 9.1无法新建文件

    Quartus ii 9.1不知道怎么回事突然进不能bsf还有mif、hex文件,想卸载掉重装也卸不掉,谁也遇到过这个问题么,求助{:11:}
    发表于 08-08 21:31

    小梅哥和你一起深入学习FPGA之mif文件的制作

    要用到的mif文件了。打开quartus II软件,选择file—>New,在打开的选项卡中,选择Memory Initialization File,点击OK。在弹出的
    发表于 11-10 00:01

    我的quartus ii打不开.mif文件为什么呀

    我用matlab生成的dds1.mif文件,用quartus ii打不开,matlab代码如下:width=10;%数据宽度为12位;N=0:1: ;s=sin(pi*N/2048); %计算0
    发表于 09-20 15:37

    quartus 编译显示没有ip核权限,生成的pof文件是time-limited

    quartus 编译显示没有ip核权限,生成的pof文件是time-limited,然后我在网上找了一个ip权限的licsense文件,H
    发表于 01-16 20:16

    替换或修改ROM的mif文件是否需要重新编译程序?

    想求问一下,对于一个工程,如果只替换了ROM的mif文件或者修改了mif文件,是否需要重新编译
    发表于 03-23 14:45

    【工程源码】基于FPGA的Mif精灵/mif(coe)文件生成器

    直接生成我们常用的mif(或者coe)文件,先来张软件运行截图:我们可以通过单击Altera或Xilinx来选择生成对应的FPGA厂商的rom初始化文件,Altera的rom初始化
    发表于 02-14 18:07

    基于FPGA的mif文件怎么创建?

    本文详细讨论了基于FPGA的mif文件创建与使用,对于mif文件创建与使用均给出了两种可行性的方法。mif
    发表于 05-06 06:04

    基于FPGA的mif文件创建与使用

     mif文件的创建与使用是在基于FPGA的系统设计中引入ROM的关键环节。对mif文件的创建与使用展开详细讨论,给出两种可行性方法,并引入实例在MAX+PLUS Ⅱ环境下做了
    发表于 12-13 17:47 42次下载

    Altera交付14.0版Quartus II软件,其编译时间业界最快

    2014年7月1号,北京Altera公司(Nasdaq: ALTR)今天发布Quartus II软件14.0版FPGA业界性能和效能首屈一指的软件。Altera的这一最新版软件
    的头像 发表于 02-11 13:37 4576次阅读

    【技能秒get】如何使用MATLAB一键制作mif文件

    这里讲解实现一个16384(2^14)点的14位正弦波数据mif格式文件的生成...
    的头像 发表于 05-09 15:22 6575次阅读
    【技能秒get】如何使用MATLAB一键制作<b class='flag-5'>mif</b><b class='flag-5'>文件</b>

    关于QuartusⅡ10.1中NIOS2软核的构建、软件编译及程序固化

    关于QuartusⅡ10.1中NIOS2软核的构建、软件编译及程序固化 一、硬件开发1、构建CPU模块2、构建EPCS控制器,SYSTEM ID模块,JTAG UART模块3、构建RAM模块
    发表于 11-30 18:06 10次下载
    关于<b class='flag-5'>Quartus</b>Ⅱ10.1中NIOS2软核的构建、<b class='flag-5'>软件</b><b class='flag-5'>编译</b>及程序固化

    MIF文件生成器下载

    MIF文件生成器,可以依据自己需要生成正弦波、三角波、锯齿波、方波的MIF文件。自定义宽度、点数、初始相位。
    发表于 02-15 11:56 16次下载