0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

支持Chiplet的底层封装技术

佐思汽车研究 来源:佐思汽车研究 作者:Erick.X 2022-08-17 11:33 次阅读

Chiplet 概述 过去几十年来,半导体行业一直按照摩尔定律的规律发展,凭借着芯片制造工艺的迭代,使得每18个月芯片性能提升一倍。但是当工艺演进到5nm,3nm节点,提升晶体管密度越来越难,同时由于集成度过高,功耗密度越来越大,供电和散热也面临着巨大的挑战。Chiplet(芯粒)技术是SoC集成发展到当今时代,摩尔定律逐渐放缓情况下,持续提高集成度和芯片算力的重要途径。工业界近期已经有多个基于Chiplet的产品面市,Intel甚至发布了集成47颗芯片的Ponte Vecchio系列,Chiplet技术已经是芯片厂商比较依赖的技术手段了。 相比传统Monolithic芯片技术,Chiplet技术背景下,可以将大型单片芯片划分为多个相同或者不同的小芯片,这些小芯片可以使用相同或者不同的工艺节点制造,再通过跨芯片互联和封装技术进行封装级别集成,降低成本的同时获得更高的集成度。通常来说,由于光刻掩膜版的尺寸限定在33mm * 26mm,单个芯片的面积一般不超过800mm^2,通过多个芯片的片间集成,可以在封装层面突破单芯片上限,进一步提高集成度。而且从工艺制造良率的Bose-Einstein模型:

1aaae9c8-1dd6-11ed-ba43-dac502259ad0.png

其中A代表芯片面积,D0代表缺陷密度,n代表掩膜版层数相关系数。单芯片的面积越大,良率越低,对应制造成本也越高。同时,在当前主流芯片架构中,信号处理部分通常为数字逻辑,随着工艺演进相同功能情况下面积也会进一步缩小,但是各类接口部分通常为模拟电路,随着工艺演进相同功能情况下面积几乎不会缩小,因此合理地将不用功能有效划分到不同工艺节点的芯片上,可以更高效利用现有制造工艺,有效降低成本。 Chiplet技术带来上述高集成度和低成本等优势的同时,也面临着诸多技术挑战,总体来说可以概括为:物理上如何拼接多个芯片;设计上如何将大芯片划分为多个小芯片;以及如何标准化接口协议做到不同芯片产品标准化拼接。具体来说可以分为几个不同的方面:

超高速、超高密度和超低延时的封装技术,用来解决Chiplet之间远低于单芯片内部的布线密度、高速可靠的信号传输带宽和超低延时的信号交互。目前主流的封装技术包括但不限于MCM、CoWoS、EMIB等。

基于Chiplet的架构设计,一方面考虑不同Chiplets之间如何进行功能划分和架构定义,另一方面考虑多个Chiplets如何进行有效互联和扩展,实现高效灵活可扩展的架构,避免多Chiplets之间出现信号死锁、流量拥塞等功能和性能问题。

标准化的多Chiplets之间交互的通信互联协议,用于指导和约束不同芯片的接口设计和标准化对接。目前由Intel、AMDARM、ASE、Google、 Meta、Microsoft、Qualcomm、Samsung和TSMC共同开发和制定的UCIe(UniversalChiplet Interconnect Express)已经发布第一版标准。

由于篇幅限制,本文基于上述三个方面,简单介绍下当前业界主流实现方式,探讨不同解决方法的优缺点和设计考量,后续会附上各个部分的详细介绍。

支持Chiplet的底层封装技术 封装技术目前主要由TSMC、ASE、Intel等公司来主导,包含从2D MCM到2.5D CoWoS、EMIB和3D HybridBonding。本文主要介绍目前工业界主流的2D和2.5D封装技术和其优缺点。

1. MCM(Multi-Chip Module)

1ab97ca4-1dd6-11ed-ba43-dac502259ad0.png

Multi-chipModule

MCM一般是指通过Substrate(封装基板)走线将多个芯片互联的技术。通常来说走线的距离和范围可以在10mm~25mm,线距线宽大约10mm量级,单条走线带宽大约10Gbit/s量级。由于MCM可以通过基板直接连接各个芯片,通常封装的成本会相对较低,但是由于走线的线距线宽比较大,封装密度相对较低,接口速率相对较低,延时相对较大。

2. CoWoS(Chip-on-Wafer-on-Substrate)

CoWoS是TSMC主导的,基于interposer(中间介质层)实现的2.5D封装技术,其中interposer采用成熟制程的芯片制造工艺,可以提供相比MCM更高密度和更大速率的接口。目前TSMC主流的CoWoS技术包括: CoWoS-S:基础CoWoS技术,可以支持超高集成密度,提供不超过两倍掩膜版尺寸的interposer层,通常用于集成HBM等高速高带宽内存芯片。

1adf858e-1dd6-11ed-ba43-dac502259ad0.png

CoWoS

CoWoS-R:基于前述CoWoS-S技术,引入InFO技术中的RDL(RedistributionLayer),RDL 中介层由聚合物和铜迹线组成,具有相对机械柔韧性,而这种灵活性增强了封装连接的可靠性,并允许新封装可以扩大其尺寸以满足更复杂的功能需求,从而有效支持多个Chiplets之间进行高速可靠互联。

1b14300e-1dd6-11ed-ba43-dac502259ad0.png

CoWoS-R

CoWoS-L:在上述CoWoS-S和InFO技术的基础上,引入LSI(LocalSilicon Interconnect)技术,LSI 芯片在每个产品中可以具有多种连接架构(例如 SoC 到 SoC、SoC 到小芯片、SoC 到 HBM 等),也可以重复用于多个产品,提供更灵活和可复用的多芯片互联架构。

1b2a8926-1dd6-11ed-ba43-dac502259ad0.png

CoWoS-L

相比于MCM,CoWoS技术可以提供更高的互联带宽和更低的互联延时,从而获得更高的性能。同时,受限于interposer的尺寸(通常为2倍掩膜版最大尺寸),可以提供的封装密度上限相对比较有限,并且由于interposer的引入,需要付出额外的制造成本和更高的技术复杂度,以及随之而来的整体良率的降低。

3. EMIB(Embedded Multi-die Interconnect Bridge)

1b3721fe-1dd6-11ed-ba43-dac502259ad0.png

EMIB

EMIB是Intel主导的2.5D封装技术,使用多个嵌入式包含多个路由层的桥接芯片,同时内嵌至封装基板,达到高效和高密度的封装。由于不再使用interposer作为中间介质,可以去掉原有连接至interposer所需要的TSVs,以及由于interposer尺寸所带来的封装尺寸的限制,可以获得更好的灵活性和更高的集成度。 总体而言,相比于前述介绍的MCM、CoWoS和InFO/LSI技术,EMIB技术要更为优雅和经济高效,获得更高的集成度和制造良率。但是EMIB需要封装工艺配合桥接芯片,技术门槛和复杂度较高。

Chiplet架构挑战和洞察 基于Chiplet的架构设计,首先要考虑不同Chiplets之间如何进行功能划分和架构定义,目前主流的设计思路大致可以分为两类:

第一类

基于功能划分到多个Chiplets,单个Chiplet不包含完整功能集合,通过不同Chiplets组合封装实现不同类型的产品,典型代表为Huawei Lego架构(Kunpeng & Ascend)、AMD Zen2/3架构。

Huawei Lego架构:采用computedie(compute + memory interface)和I/O die组合的形式进行不同Chiplets功能拆解。在compute die(CPU/AI)设计时采用先进的工艺,获得顶级的算力和能效,在I/O die设计时采用成熟工艺,在面积与先进工艺差别不大的情况下获得成本收益。并且不同的Chiplets的数量和组合形式都可以灵活搭配,从而组合出多种不同规格的云端高性能处理器产品。

1b42f27c-1dd6-11ed-ba43-dac502259ad0.png

HuaweiLego

AMD Zen3架构:采用CCD(compute)和CIOD(memoryinterface + I/O)组合的形式进行不同Chiplets功能拆解。在CCD设计时采用最先进的工艺,获得顶级的算力和能效,在CIOD设计时采用成熟工艺,在面积与先进工艺差别不大的情况下获得成本收益。并且CCD本身按照两个4C8T cluster组合的形式设计,可以适应AMD从Desktop到Server的架构需求,根据场景选择CCD数量和设计对应的CIOD即可,灵活度非常高。

1b54ed2e-1dd6-11ed-ba43-dac502259ad0.png

AMD Zen3

第二类

单个Chiplet包含较为独立完整的功能集合,通过多个Chiplets级联获得性能的线性增长,典型代表为Apple M1 Ultra、Intel Sapphire rapids系列。

Apple M1 Ultra:通过Apple自研的封装技术UltraFusion来堆叠两颗M1 Max芯片,使得两颗芯片之间拥有超过2.5TB/s带宽且极低延时的互联能力。基于这个互联的延时带宽能力,可以使得M1 Ultra直接获得两倍M1 Max的算力,同时在软件层面依然可以将M1 Ultra当做一个完整芯片对待,而不会增加额外的软件修改和调试的负担。

1b6b7ff8-1dd6-11ed-ba43-dac502259ad0.png

AppleM1 Ultra

Intel Sapphire Rapids:通过两组镜像对称的相同架构的building blocks,组合4个Chiplets,获得4倍的性能和互联带宽。每个基本模块包含计算部分(CHA & LLC & Coresmesh, Accelerators)、memory interface部分(controller, Ch0/1)、I/O部分(UPI,PCIe)。通过将上述高性能组件组成基本的building block,再通过EMIB技术进行Chiplet互联,可以获得线性性能提升和成本收益。

1b9b0e4e-1dd6-11ed-ba43-dac502259ad0.png

IntelSapphire Rapids

基于Chiplet的架构设计,同时要考虑多个Chiplets如何进行有效互联和扩展,实现高效灵活可扩展的架构,避免多Chiplets之间出现信号死锁、流量拥塞等功能和性能问题。由于芯片内部互联通常为可靠连接假设下的并行数据传输,而芯片之间的互联通常为不可靠连接假设下的串行数据传输,根据芯片片上和片间互联架构的组合和流量收敛情况,目前主流的设计思路和应用场景大致分为两大类:

第一类

片上片间相同架构,流量全打平或基本打平。典型代表如Cerebras,采用从tile到singledie到wafer scale engine完全相同的互联架构。另一个典型代表是Tesla DoJo,采用InFO-SoW的封装和芯片四边全部放置I/O接口的方式实现片内每个方向10TBps带宽,跨片每边4TBps,SoW集成后单边带宽9TBps。

1bb9981e-1dd6-11ed-ba43-dac502259ad0.png

CS-1Wafer Scale Engine

1bcd4490-1dd6-11ed-ba43-dac502259ad0.png

DoJoD1 Chip

第二类

片上片间架构相似,片间流量按照一定比例收敛。典型代表一个是前述的Huawei Bufferless Multi-Ring架构,片上流量会收敛到分布式的各个跨片接口;另一个典型代表是前述的Apple M1 Ultra,片上流量收敛到UltraFusion集中交换部分。

1be3c184-1dd6-11ed-ba43-dac502259ad0.png

BufferlessMulti-Ring

从计算负载的角度,当单个计算任务计算密度较高,超出单芯片算力范围的时候,需要多个芯片协同来完成,此时跨片数据交互也需要提供和片上数量级相当的带宽和延时,才能更有效利用算力,提高计算效率。典型的任务类型是AI的训练任务,前述Cerebras和DoJo的互联架构对这类场景有较强优势。当计算任务数量庞大,单个任务负载较小,跨片流量通常是要远小于片上流量的,此时采用流量收敛策略更为合适。 从互联架构的实现方式和实现策略角度,通常根据网络的拓扑、路由策略、防死锁机制等又可进一步细分,本文由于篇幅限制不再详细介绍。

Chiplet协议介绍 工业界大约从2016年开始就在逐步尝试基于Chiplet的芯片设计,经过长时间的摸索,已经在封装工艺、架构设计上有了深厚的积累和长足的进步,在这样的背景和契机之下,由Intel、AMD、ARM、ASE、Google、 Meta、Microsoft、Qualcomm、Samsung和TSMC共同开发和制定的UCIe 1.0在2022年3月正式推出。 UCIe标准的初衷和目标,是建立一套Chiplet技术相关的设计和制造等各个环节的参考标准,从而使得不同设计和制造厂商的芯片可以无缝集成,从而打造封装层级的完整灵活的芯片开发生态系统。基于Chiplet技术和UCIe标准,可以实现超过单个掩膜版尺寸的芯片面积,获得更大尺寸、更高集成度的高性能芯片。同时基于标准的UCIe,可以使能各类不同工艺和不同大小的芯片和IP在封装层面进行集成,有效降低开发成本,同时减少开发周期。

1bf42ea2-1dd6-11ed-ba43-dac502259ad0.png

Figure.Initial motivation of UCIe

UCIe主要规定的规格和标准包含以下几个层面(具体内容本文不再赘述):

协议层:定义了高层级通信协议标准,初始版本采用成熟的PCIe加CXL协议。

中间层:定义了Chiplets之间的适配标准,包括Link状态管理,参数对齐,信号的选择校验,以及可能的重传机制。

物理层:定义了电气信号连接的标准、物理链路设计标准,包括电气信号定义,时钟定义,Link和Sideband训练。

1c1dcadc-1dd6-11ed-ba43-dac502259ad0.png

Figure.Layering with UCIe

总结 综上所述,经过数年的发展,Chiplet技术已经逐渐走向成熟和商用,成为芯片厂商比较依赖的技术手段,也被认为是未来芯片行业发展的重要方向。目前在底层封装层面,已经有TSMC、Intel等厂商提供CoWOS、EMIB等先进封装,可以提供超高速、超高密度和超低延时的Chiplet互联;在标准协议层面,也有众多大厂领衔发布的UCIe 1.0版本,提供了跨片接口设计的指导和约束。而在架构设计层面,如何基于Chiplet设计高性能、高效率、灵活可扩展的互联架构,如何基于实现和商业视角进行芯片间的功能划分仍然是Chiplet技术中最大的挑战。

Reference:

https://3dfabric.tsmc.com/english/dedicatedFoundry/technology/3DFabric.htm

https://ase.aseglobal.com/public/en/technology/focos.html

https://www.intel.com/content/www/us/en/silicon-innovations/6-pillars/emib.html

S. Naffziger, K. Lepak, M. Paraschou, and M. Subramony, “2.2 amd chiplet architecture for high-performance server and desktop products,” in 2020 IEEE International Solid-State Circuits Conference-(ISSCC). IEEE, 2020, pp. 44–45

A. Biswas, “Sapphire rapids,” in 2021 IEEE Hot Chips 33 Symposium (HCS). IEEE Computer Society, 2021, pp. 1–22.

T. Wang, F. Feng, S. Xiang, Q. Li and J. Xia, "Application Defined On-chip Networks for Heterogeneous Chiplets: An Implementation Perspective," 2022 IEEE International Symposium on High-Performance Computer Architecture (HPCA), 2022, pp. 1198-1210, doi: 10.1109/HPCA53966.2022.00091.

https://www.apple.com/tn/newsroom/2022/03/apple-unveils-m1-ultra-the-worlds-most-powerful-chip-for-a-personal-computer/

Rocki, Kamil, et al. "Fast stencil-code computation on a wafer-scale processor." SC20: International Conference for High Performance Computing, Networking, Storage and Analysis. IEEE, 2020.

https://www.youtube.com/watch?v=j0z4FweCy4M

Parasar, Mayank, et al. "Swap: Synchronized weaving of adjacent packets for network deadlock resolution." Proceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture. 2019.

Yin, Jieming, et al. "Modular routing design for chiplet-based systems." 2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture (ISCA). IEEE, 2018.

https://www.uciexpress.org/specification

Xia, Jing, et al. "Kunpeng 920: The first 7-nm chiplet-based 64-Core ARM SoC for cloud services." IEEE Micro 41.5 (2021): 67-75.

https://www.anandtech.com/Gallery/Album/8123#3

审核编辑 :李倩

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 半导体
    +关注

    关注

    328

    文章

    24494

    浏览量

    202071
  • 摩尔定律
    +关注

    关注

    4

    文章

    622

    浏览量

    78520
  • 封装技术
    +关注

    关注

    12

    文章

    495

    浏览量

    67785
  • chiplet
    +关注

    关注

    6

    文章

    379

    浏览量

    12418

原文标题:Chiplet:大算力的翅膀

文章出处:【微信号:zuosiqiche,微信公众号:佐思汽车研究】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    什么是Chiplet技术

    什么是Chiplet技术Chiplet技术是一种在半导体设计和制造中将大型芯片的不同功能分解并分散实现在多个较小和专用的芯片(Chiplets)上的方法。这些较小的芯片随后通过高速互
    的头像 发表于 01-25 10:43 525次阅读
    什么是<b class='flag-5'>Chiplet</b><b class='flag-5'>技术</b>?

    Chiplet技术对英特尔和台积电有哪些影响呢?

    Chiplet,又称芯片堆叠,是一种模块化的半导体设计和制造方法。由于集成电路(IC)设计的复杂性不断增加、摩尔定律的挑战以及多样化的应用需求,Chiplet技术应运而生。
    的头像 发表于 01-23 10:49 383次阅读
    <b class='flag-5'>Chiplet</b><b class='flag-5'>技术</b>对英特尔和台积电有哪些影响呢?

    什么是Chiplet技术Chiplet技术有哪些优缺点?

    Chiplet技术是一种将集成电路设计和制造的方法,其中一个芯片被分割成多个较小的独立单元,这些单元通常被称为“chiplets”。每个chiplet可以包含特定的功能块、处理器核心、内存单元或其他
    的头像 发表于 01-08 09:22 1592次阅读

    先进封装 Chiplet 技术与 AI 芯片发展

    、主流技术和应用场景,以及面临的挑战和问题。进而提出采用Chiplet技术,将不同的功能模块独立集成为独立的Chiplet,并融合在一个AI芯片上,从而实现更高的计算能力。该设计不仅允
    的头像 发表于 12-08 10:28 312次阅读
    先进<b class='flag-5'>封装</b> <b class='flag-5'>Chiplet</b> <b class='flag-5'>技术</b>与 AI 芯片发展

    Chiplet主流封装技术都有哪些?

    Chiplet主流封装技术都有哪些?  随着处理器和芯片设计的发展,芯片的封装技术也在不断地更新和改进。
    的头像 发表于 09-28 16:41 1451次阅读

    弯道超车的Chiplet与先进封装有什么关联呢?

    Chiplet也称芯粒,通俗来说Chiplet模式是在摩尔定律趋缓下的半导体工艺发展方向之一,是将不同功能芯片裸片的拼搭
    发表于 09-28 11:43 708次阅读
    弯道超车的<b class='flag-5'>Chiplet</b>与先进<b class='flag-5'>封装</b>有什么关联呢?

    Chiplet技术的发展现状和趋势

    、董事长兼总裁戴伟民博士以《面板级封装Chiplet和SiP》为题进行了视频演讲。他表示,Chiplet是集成电路技术重要的发展趋势之一,可有效突破高性能芯片在良率、设计/迭代周期、
    的头像 发表于 08-28 10:31 786次阅读

    Chiplet关键技术与挑战

    半导体产业正在进入后摩尔时代,Chiplet应运而生。介绍了Chiplet技术现状与接口标准,阐述了应用于Chiplet的先进封装种类:多芯
    的头像 发表于 07-17 16:36 836次阅读
    <b class='flag-5'>Chiplet</b>关键<b class='flag-5'>技术</b>与挑战

    一文解析Chiplet中的先进封装技术

    Chiplet技术是一种利用先进封装方法将不同工艺/功能的芯片进行异质集成的技术。这种技术设计的核心思想是先分后合,即先将单芯片中的功能块拆
    发表于 07-17 09:21 2518次阅读
    一文解析<b class='flag-5'>Chiplet</b>中的先进<b class='flag-5'>封装</b><b class='flag-5'>技术</b>

    何谓先进封装?一文全解先进封装Chiplet优缺点

    1. 先进制程受限,先进封装/Chiplet提升算力,必有取舍。
    发表于 07-07 09:42 1748次阅读
    何谓先进<b class='flag-5'>封装</b>?一文全解先进<b class='flag-5'>封装</b><b class='flag-5'>Chiplet</b>优缺点

    探讨Chiplet封装的优势和挑战

    Chiplet,就是小芯片/芯粒,是通过将原来集成于同一系统单晶片中的各个元件分拆,独立为多个具特定功能的Chiplet,分开制造后再透过先进封装技术将彼此互联,最终集成
    发表于 07-06 11:28 549次阅读
    探讨<b class='flag-5'>Chiplet</b><b class='flag-5'>封装</b>的优势和挑战

    百家争鸣:Chiplet先进封装技术哪家强?

    Chiplet俗称“芯粒”或“小芯片组”,通过将原来集成于同一 SoC 中的各个元件分拆,独立 为多个具特定功能的 Chiplet,分开制造后再通过先进封装技术将彼此互联,最终集成
    的头像 发表于 06-25 15:12 1557次阅读
    百家争鸣:<b class='flag-5'>Chiplet</b>先进<b class='flag-5'>封装</b><b class='flag-5'>技术</b>哪家强?

    先进封装技术Chiplet的关键?

    先进的半导体封装既不是常规操作,目前成本也是相当高的。但如果可以实现规模化,那么该行业可能会触发一场chiplet革命,使IP供应商可以销售芯片,颠覆半导体供应链。
    发表于 06-21 08:56 204次阅读

    先进封装Chiplet的优缺点与应用场景

    一、核心结论  1、先进制程受限,先进封装/Chiplet提升算力,必有取舍。在技术可获得的前提下,提升芯片性能,先进制程升级是首选,先进封装则锦上添花。 2、大功耗、高算力的场景,先
    发表于 06-13 11:38 798次阅读
    先进<b class='flag-5'>封装</b><b class='flag-5'>Chiplet</b>的优缺点与应用场景

    半导体Chiplet技术及与SOC技术的区别

    来源:光学半导体与元宇宙Chiplet将满足特定功能的裸芯片通过Die-to-Die内部互联技术,实现多个模块芯片与底层基础芯片的系统封装,实现一种新形势的IP复用。
    的头像 发表于 05-16 09:20 1143次阅读
    半导体<b class='flag-5'>Chiplet</b><b class='flag-5'>技术</b>及与SOC<b class='flag-5'>技术</b>的区别