0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

如何使用xilinx的HLS工具进行算法的硬件加速

FPGA之家 来源:FPGA之家 作者:FPGA之家 2022-06-02 09:48 次阅读

引言

本系列教程演示如何使用xilinxHLS工具进行算法硬件加速。分为三个部分,分别为HLSIP设计,vivado硬件环境搭建,SDK端软件控制。HLS端,要将进行硬件加速的软件算法转换为RTL级电路,生成便于嵌入式使用的axi控制端口,进行数据的传输和模块的控制。

HLS介绍】

HLS可以将算法直接映射为RTL电路,实现了高层次综合。vivado-HLS可以实现直接使用 CC++ 以及 System C 语言对XilinxFPGA器件进行编程。用户无需手动创建 RTL,通过高层次综合生成HDL级的IP核,从而加速IP创建HLS的官方参考文档主要为:ug871ug871-vivado-high-level-synthesis-tutorial.pdf )和ug902ug902-vivado-high-level-synthesis.pdf)。 对于Vivado Hls来说,输入包括TesbenchC/C++源代码和Directives,相应的输出为IP CatalogDSPSysGen,特别的,一个工程只能有一个顶层函数用于综和,这个顶层函数下面的子函数也是可以被综合的,会生成相应的VHDLVerilog代码,所以,C综合后的RTL代码结构通常是跟原始C描述的结构是一致的,除非是子函数功能很简单,所需要的逻辑量很小。并不是所有的C/C++都可以被综合,动态内存分配和涉及到操作系统层面的操作不可以被综合。Vivado HLS 的设计流程如下:

b090ef62-e20a-11ec-ba43-dac502259ad0.png

在整个流程中,用户先创建一个设计 CC++ SystemC 源代码,以及一个C的测试平台。通过 Vivado HLS Synthesis 运行设计,生成 RTL 设计,代码可以是 Verilog,也可以是 VHDL。有了 RTL 后,随即可以执行设计的 Verilog VHDL 仿真,或使用工具的C封装器技术创建 SystemC 版本。然后可以进行System C架构级仿真,进一步根据之前创建的 C 测试平台,验证设计的架构行为和功能。设计固化后,就可以通过 Vivado 设计套件的物理实现流程来运行设计,将设计编程到器件上,在硬件中运行和/或使用 IP 封装器将设计转为可重用的 IP

Step 1: 新建一个工程

1,Creat New Project新建文档,输入工程名称和工程路径。完成后点击Next

b0a26cd8-e20a-11ec-ba43-dac502259ad0.png

2,添加设计文件,并制定顶层函数。完成后点击Next

b0bcbe76-e20a-11ec-ba43-dac502259ad0.png

3,添加C语言仿真文件。完成后点击Next

b0feeb98-e20a-11ec-ba43-dac502259ad0.png

4,:配置Solution Name,一般默认即可。配置Clock Period,单位是ns。配置Uncertainty,默认为空。选择产品型号。完成后点击Finish

b1522f56-e20a-11ec-ba43-dac502259ad0.png

5,工程新建成功后进入的开发界面,HLS是典型的Eclipse界面,和SDK的界面十分相似。

b180db26-e20a-11ec-ba43-dac502259ad0.png

导入的文件的代码如下:1,源文件。axi_interfaces.c
#include"axi_interfaces.h"void axi_interfaces (dout_t d_o[N], din_t d_i[N]) {inti,rem;// Store accumulated datastaticdacc_tacc[CHANNELS];axi_interfaces_label0:for (i=0;i
2,头文件。axi_interfaces.h

		#ifndef AXI_INTERFACES_H_ #defineAXI_INTERFACES_H_ #include typedef int din_t; typedef int dout_t; typedefintdacc_t; #define CHANNELS 8 #define SAMPLES 4 #defineNCHANNELS*SAMPLES voidaxi_interfaces(dout_td_o[N],din_td_i[N]); #endif
		3,测试文件。axi_interfaces_test.c

		#include "axi_interfaces.h" int main () { // Create input data  din_t d_i[N] = {10, 20, 30, 40, 50, 60, 70, 80, 11, 21, 31, 41, 51, 61, 71, 81, 12, 22, 32, 42, 52, 62, 72, 82, 13, 23, 33, 43, 53, 63, 73, 83};  dout_t d_o[N]; int i, retval=0; FILE*fp; // Call the function to operate on the data axi_interfaces(d_o,d_i); // Save the results to a file fp=fopen("result.dat","w"); fprintf(fp, "Din Dout "); for(i=0;i  fprintf(fp, "%d %d ", d_i[i], d_o[i]); } fclose(fp); // Compare the results file with the golden results retval = system("diff --brief -w result.dat result.golden.dat"); if (retval != 0) { printf("Test failed !!! "); retval=1; } else { printf("Test passed ! "); } // Return 0 if the test passes  return retval; }
		4,测试数据。result.golden.dat

		Din Dout 10 10 20 20 30 30 40 40 50 50 60 60 70 70 80 80 11 21 21 41 31 61 41 81 51 101 61 121 71 141 81 161 12 33 22 63 32 93 42 123 52 153 62 183 72 213 82 243 13 46 23 86 33 126 43 166 53 206 63 246 73 286 83 326
		
		

Step 2: C源代码验证

本步骤是对功能代码的逻辑验证,相当于功能前仿。1,测试程序的代码入下图。该程序先调用综合的函数,得到计算结果,再和预先的数据集进行比较,最后返回计较的结果。计算结果和预先的数据集一致时,测试通过,不一致时,测试失败。需要查看代码,寻找错误。

b1b44268-e20a-11ec-ba43-dac502259ad0.png

2,点击红框中的按钮,开始C源代码验证。

b1fc2c2c-e20a-11ec-ba43-dac502259ad0.png

3,验证的结果显示在控制栏中。如图显示,测试通过。

b24dee86-e20a-11ec-ba43-dac502259ad0.png

4,在头文件中,重定义了数据类型,参数,并进行了函数声明。

b2751a1a-e20a-11ec-ba43-dac502259ad0.png

Step 3: 高层次综合

本步骤是把功能代码的综合成RTL逻辑。1,点击红框中的按钮,将C代码综合成RTL。综合完成后,查看结果。

b29cde2e-e20a-11ec-ba43-dac502259ad0.png

2,综合完成后,查看综合报告。包括时序,延时,资源占用,端口信息等。

b2d2ae14-e20a-11ec-ba43-dac502259ad0.png

b31cd0ac-e20a-11ec-ba43-dac502259ad0.png

3,端口分析。1)控制端口用于控制和显示该模块的工作状态。各个端口的功功能如下,默认情况下会生成下面四个控制端口。lap_startin):为高时,该模块开始处理数据。lap_doneout):为高时,表示模块处理数据完成。lap_idleout):表明模块是否处于空闲态。高电平有效。为高时,该处于空闲态。lap_readyout):为高时,表示模块可以接受新的数据。2)数据端口用于传递模块的输入输出参数。参数d_od_i 为数组类型,故默认状态下回生成内存接口内存接口 (数组类型参数)数据来自外部的memory,通过地址信号读取相应的数据,输入到该模块中。输入数组从外部内存中读源数据,输出数组从向外部内存写入结果数据。各个端口的定义如下。laddress:地址信号lce0:片选信号lwe0:写使能信号ld0 :数据信号4,综合结果分析。在分析界面,可以看到模块的运行情况。包括数据依赖关系和各个周期执行的操作,IO口的读写,内存端口的访问等等。

b3972c08-e20a-11ec-ba43-dac502259ad0.png

b3bd34de-e20a-11ec-ba43-dac502259ad0.png

Step 4: 综合优化

在使用高层次综合,创造高质量的RTL设计时,一个重要部分就是对C代码进行优化。Vivado HLS拥有自动优化的功能,试图最小化loop(循环)function(函数)latency。除了自动优化,我们可以手动进行程序优化,即用在不同的solution中添加不同的directive(优化指令)的方法,进行优化和性能对比。其中,对同一个工程,可以建立多个不同的solution(解决方案),为不同的solution添加directive可以达到如下目的。优化的类型可分为如下类别:l端口优化。指定不同类型的模块端口。l函数优化。加快函数的执行速度,减小执行周期。l循坏优化。利用展开和流水线形式,减小循环的执行周期。1,点击下面红框的图标,新建solution

b3e41838-e20a-11ec-ba43-dac502259ad0.png

2,不同solution位于不同的文件夹中。

b45468e0-e20a-11ec-ba43-dac502259ad0.png

3,选中综合文件。可以在direct框中看可进行优化的标签

b4cb14fe-e20a-11ec-ba43-dac502259ad0.png

4,双击选择d_o,选择interfaces_axilite。点击ok。将d_o的端口类型设置为s_axilite类型。

b4f4f396-e20a-11ec-ba43-dac502259ad0.png

5,参考d_o,将d_i的接口类型也设置为s_axilite。将d_i的端口类型设置为s_axilite类型。

b52c65c4-e20a-11ec-ba43-dac502259ad0.png

6,双击选择函数名称axi_interface,选择interfaces_axilite。点击ok。将控制端口的端口类型设置为s_axilite类型。

b55029a0-e20a-11ec-ba43-dac502259ad0.png

7,双击循环标签,选择流水线优化(pipeline),点击ok

b5801700-e20a-11ec-ba43-dac502259ad0.png

8,双击循环标签,选择循环展开优化(unroll),点击ok

b5b40d6c-e20a-11ec-ba43-dac502259ad0.png

9,同上,也将标签为for_loop的循环进行流水线和展开优化。10,最终的优化情况总结如下。

b5e6b5be-e20a-11ec-ba43-dac502259ad0.png

11,重新进行函数综合,查看综合报告如下。

b60a29fe-e20a-11ec-ba43-dac502259ad0.png

b61db410-e20a-11ec-ba43-dac502259ad0.png

12,分析。同未优化相比,优化过后的函数综合后生成的模块的运行时钟大大减小。端口的接口类型也变为了axi_lite端口。但资源占用率有所增加,也体现了用资源换速度的设计理念。

b6490138-e20a-11ec-ba43-dac502259ad0.png

Step 5: 综合结果文件

综合完成后,在各个solutionsyn文件夹中可以看到综合器生成的RTL代码。包括systemcVHDLVerilog

b6713a04-e20a-11ec-ba43-dac502259ad0.png

Step 6: 导出IP

在菜单里Solution>Export TL,设置如下,点击ok

b6e17abc-e20a-11ec-ba43-dac502259ad0.png

IP封装完成后,会impl文件夹中输出ip文件夹,其中包含了RTL代码(hdl),模块驱动(drivers),文档(doc)等信息,其中包含一个压缩包文件,是用于建立vivado工程所用的IP压缩包。

b7302ac2-e20a-11ec-ba43-dac502259ad0.png

Step 7: 总结

本文重点讲解了hls软件的使用方法和优化方法,在C语言模块设计上没有重点讲解。在掌握了hls软件的基本用法和优化方法后,接下来就可以设计更加复杂的C语言模块,进行rtl综合,加快设计开发的速度。

审核编辑 :李倩


声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 算法
    +关注

    关注

    23

    文章

    4452

    浏览量

    90745
  • Xilinx
    +关注

    关注

    70

    文章

    2119

    浏览量

    119361
  • Vivado
    +关注

    关注

    18

    文章

    789

    浏览量

    65092

原文标题:Vivado-hls使用实例

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    用DE1-SOC进行硬件加速的2D N-Body重力模拟器设计

    该项目的目标是创建一个用DE1-SOC进行硬件加速的2D N-Body重力模拟器。
    的头像 发表于 04-09 11:08 152次阅读
    用DE1-SOC<b class='flag-5'>进行</b><b class='flag-5'>硬件加速</b>的2D N-Body重力模拟器设计

    【国产FPGA+OMAPL138开发板体验】(原创)7.硬件加速Sora文生视频源代码

    算法,如循环神经网络(RNN)或Transformer,用于文本处理,以及卷积神经网络(CNN)或生成对抗网络(GAN)用于视频生成。通常涉及对模型中的计算密集型部分进行硬件加速。文本到视频生成模型
    发表于 02-22 09:49

    音视频解码器硬件加速:实现更流畅的播放效果

    随着多媒体内容的日益丰富和高清化,传统的软件解码已经难以满足人们对流畅播放体验的需求。因此,音视频解码器硬件加速技术的出现,为提升播放效果带来了革命性的改变。 硬件加速的原理 硬件加速的核心
    的头像 发表于 02-21 14:40 274次阅读
    音视频解码器<b class='flag-5'>硬件加速</b>:实现更流畅的播放效果

    嵌入式多媒体系统中硬件加速技术的应用

    电子发烧友网站提供《嵌入式多媒体系统中硬件加速技术的应用.pdf》资料免费下载
    发表于 10-26 09:33 0次下载
    嵌入式多媒体系统中<b class='flag-5'>硬件加速</b>技术的应用

    【KV260视觉入门套件试用体验】硬件加速之—使用PL加速矩阵乘法运算(Vitis HLS

    四、硬件加速之—使用PL加速矩阵乘法运算(Vitis HLS) 前四期测评计划: 一、开箱报告,KV260通过网线共享PC网络 二、Zynq超强辅助-PYNQ配置,并使用XVC(Xilinx
    发表于 10-13 20:11

    【KV260视觉入门套件试用体验】 硬件加速之—使用PL加速FFT运算(Vivado)

    的应用,比如在数学,密码学,天文学,地震学,生物学等领域。 本文主旨 利用PL端的并行性和灵活性来实现高效的FFT运算,在KV260搭建一个硬件加速算法,作为对比,我同时使用ARM核进行fft运算,验证PL
    发表于 10-02 22:03

    Alveo卡的区块链硬件加速器解决方案

    电子发烧友网站提供《Alveo卡的区块链硬件加速器解决方案.pdf》资料免费下载
    发表于 09-15 14:42 0次下载
    Alveo卡的区块链<b class='flag-5'>硬件加速</b>器解决方案

    硬件加速自然语言理解解决方案

    电子发烧友网站提供《硬件加速自然语言理解解决方案.pdf》资料免费下载
    发表于 09-13 10:45 0次下载
    <b class='flag-5'>硬件加速</b>自然语言理解解决方案

    Hyperon—大数据应用的硬件加速解决方案

    电子发烧友网站提供《Hyperon—大数据应用的硬件加速解决方案.pdf》资料免费下载
    发表于 09-13 10:12 0次下载
    Hyperon—大数据应用的<b class='flag-5'>硬件加速</b>解决方案

    嵌入式HLS 案例开发步骤分享——基于Zynq-7010/20工业开发板(4)

    (High-Level Synthesis,高层次综合) 工具支持将 C 、C++等语言转化成硬件描述语言,同时支持基于 OpenCL 等框架对 Xilinx 可编程逻辑器件进行开发
    发表于 08-24 14:54

    嵌入式HLS 案例开发步骤分享——基于Zynq-7010/20工业开发板(3)

    ,高层次综合) 工具支持将 C 、C++等语言转化成硬件描述语言,同时支持基于 OpenCL 等框架对 Xilinx 可编程逻辑器件进行开发,可加速
    发表于 08-24 14:52

    嵌入式HLS 案例开发步骤分享——基于Zynq-7010/20工业开发板(1)

    Xilinx Vivado HLS (High-Level Synthesis,高层次综合) 工具支持将 C 、C++等语言转化成硬件描述语言,同时支持基于 OpenCL 等框架对
    发表于 08-24 14:40

    使用VVAS调用HLS生成硬件加速器的主要流程

    本篇博客介绍 VVAS 框架所支持调用的 H/W(HLS) 内核。 H/W 内核指的是使用 HLS 工具生成的在 FPGA 部分执行的硬件功能模块。
    的头像 发表于 08-04 11:00 373次阅读
    使用VVAS调用<b class='flag-5'>HLS</b>生成<b class='flag-5'>硬件加速</b>器的主要流程

    VVAS调用HLS生成的硬件加速器的主要流程

    本文介绍VVAS框架所支持调用的H/W(HLS)内核
    的头像 发表于 07-14 15:55 435次阅读
    VVAS调用<b class='flag-5'>HLS</b>生成的<b class='flag-5'>硬件加速</b>器的主要流程

    硬件加速人体姿态估计开源分享

    电子发烧友网站提供《硬件加速人体姿态估计开源分享.zip》资料免费下载
    发表于 06-25 10:27 0次下载
    <b class='flag-5'>硬件加速</b>人体姿态估计开源分享