0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

FDCE/FDPE/FDRE/FDSE触发器简介

FPGA之家 来源:51CTO博客mob604756f37073 作者:51CTO博客mob604756f 2022-03-15 11:59 次阅读

每个 Slice 有 8 个 FF 。四个可以配置为 D 型触发器或电平敏感锁存器,另外四个只能配置为 D 型触发器,但是需要记得是:当原来的四个 FF 配置为锁存器时,不能使用这四个 FF 。

FDCE

简介

带有时钟使能和异步清零的 D 触发器

be82011a-9197-11ec-952b-dac502259ad0.png
  • 当时钟使能(CE)为高并且异步清零信号 CLR 为低时,该 D 触发器将数据输入 D 端传递到输出 Q 端。

  • 当CLR为高,不管输入是什么,输出 Q 端值为 0。

原语

FDCE #(.INIT(1'b0) // Initial value of register (1'b0 or 1'b1)) FDCE_inst (.Q(Q),      // 1-bit Data output.C(C),      // 1-bit Clock input.CE(CE),    // 1-bit Clock enable input.CLR(CLR),  // 1-bit Asynchronous clear input.D(D)       // 1-bit Data input);

真值表

be954f18-9197-11ec-952b-dac502259ad0.png

FDPE

简介

带有时钟使能和异步置位的 D 触发器

beaad978-9197-11ec-952b-dac502259ad0.png
  • 当时钟使能(CE)为高并且异步置位信号 PRE 为低时,该 D 触发器将数据输入 D 端传递到输出 Q 端。

  • 当 PRE 为高,不管输入是什么,输出 Q 端值为 1。

原语

FDPE #(  .INIT(1'b0) // Initial value of register (1'b0 or 1'b1)) FDPE_inst (  .Q(Q),      // 1-bit Data output  .C(C),      // 1-bit Clock input  .CE(CE),    // 1-bit Clock enable input  .PRE(PRE),  // 1-bit Asynchronous preset input  .D(D)       // 1-bit Data input);

真值表

bec4a132-9197-11ec-952b-dac502259ad0.png

FDRE

简介

带有时钟使能和同步清零的 D 触发器

bedd74b4-9197-11ec-952b-dac502259ad0.png
  • 当时钟使能(CE)为高并且同步清零信号 R 为低时,该 D 触发器在时钟上升沿将数据输入 D 端传递到输出 Q 端。

  • 当 R 为高,不管输入是什么,输出 Q 端值为 0。

原语

FDRE #(  .INIT(1'b0) // Initial value of register (1'b0 or 1'b1)) FDRE_inst (  .Q(Q),      // 1-bit Data output  .C(C),      // 1-bit Clock input  .CE(CE),    // 1-bit Clock enable input  .R(R),      // 1-bit Synchronous reset input  .D(D)       // 1-bit Data input);

真值表

befcc8c8-9197-11ec-952b-dac502259ad0.png

FDSE

简介

带有时钟使能和同步置位的 D 触发器

bf18b4d4-9197-11ec-952b-dac502259ad0.png
  • 当时钟使能(CE)为高并且同步置位信号 S 为低时,该 D 触发器在时钟上升沿将数据输入 D 端传递到输出 Q 端。

  • 当 S 为高,不管输入是什么,输出 Q 端值为 1。

原语

FDSE #(  .INIT(1'b0) // Initial value of register (1'b0 or 1'b1)) FDSE_inst (  .Q(Q),      // 1-bit Data output  .C(C),      // 1-bit Clock input  .CE(CE),    // 1-bit Clock enable input  .S(S),      // 1-bit Synchronous set input  .D(D)       // 1-bit Data input);

真值表

bf38b1f8-9197-11ec-952b-dac502259ad0.png

原文标题:参考链接

文章出处:【微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

审核编辑:汤梓红
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 时钟
    +关注

    关注

    10

    文章

    1477

    浏览量

    130274
  • 锁存器
    +关注

    关注

    8

    文章

    734

    浏览量

    40995
  • 触发器
    +关注

    关注

    14

    文章

    1669

    浏览量

    60306

原文标题:参考链接

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    硬件描述语言(HDL)编码技术:xilinx verilog语法技巧

    and Registers : Vivado综合根据HDL代码的编写方式推断出四种类型的寄存器原语: •FDCE:具有时钟使能和异步清除的D触发器FDPE:具有时钟使能和异步预设的D触发
    的头像 发表于 12-13 10:29 3077次阅读

    触发器实验

    触发器实验1)熟悉常用触发器的逻辑功能及测试方法。2)了解触发器逻辑功能的转换。三.实验内容及步骤 (1)   基本RS触发器逻辑功能测试(2)  JK
    发表于 03-20 10:01

    请问电平触发器和边沿触发器符号是什么?

    电平触发器和边沿触发器符号
    发表于 10-18 09:01

    什么是触发器 触发器的工作原理及作用

    根据输入信号改变输出状态。把这种在时钟信号触发时才能动作的存储单元电路称为触发器,以区别没有时钟信号控制的锁存触发器是一种能够保存1位二进制数的单元电路,是计算机中记忆装置的基本单
    发表于 12-25 17:09

    Vivado中进行HDL代码设计

    时钟使能和异步清0的D触发器FDPE:带有时钟使能和异步预置(Preset)的D触发器FDSE:带有时钟使能和同步置位的D触发器
    发表于 09-29 10:08

    J-K触发器实验原理简介

    J-K触发器实验原理简介 1.J-K触发器    74LS112双J-K触发器的逻辑符号和J-K触发器
    发表于 03-08 13:42 1.6w次阅读

    D触发器,D触发器是什么意思

    D触发器,D触发器是什么意思   边沿D 触发器:  电平触发的主从触发器工作时,必须在正跳沿前加入输入信号。如果在CP 高
    发表于 03-08 13:53 4410次阅读

    触发器的分类, 触发器的电路

    触发器的分类, 触发器的电路 双稳态器件有两类:一类是触发器,一类是锁存器。锁存器是触发器的原始形式。基本
    发表于 03-09 09:59 1554次阅读

    触发器的作用_触发器的特点介绍

    本文开始介绍了触发器的定义和触发器的特点,其次阐述了触发器的分类和触发器的作用,最后介绍了触发器的工作原理。
    发表于 03-27 17:35 2.1w次阅读

    电平触发器,脉冲触发器和边沿触发器触发因素是什么

    脉冲触发器由两个相同的电平触发的SR触发器组成,其中左SR触发器成为主触发器,右手侧称为从触发器
    的头像 发表于 02-11 10:56 7981次阅读
    电平<b class='flag-5'>触发器</b>,脉冲<b class='flag-5'>触发器</b>和边沿<b class='flag-5'>触发器</b>的<b class='flag-5'>触发</b>因素是什么

    Xilinx源语---FDRE

    FDRE代表一个单D型触发器,含的有五个信号分别为: 数据(data,D)、时钟使能(Clock enable,CE)、时钟(Clock)、同步复位(synchronous reset,R)、数据
    的头像 发表于 07-25 18:12 3332次阅读
    Xilinx源语---<b class='flag-5'>FDRE</b>

    Xilinx源语-------FDRE

    FDRE代表一个单D型触发器,含的有五个信号分别为: 数据(data,D)、时钟使能(Clock enable,CE)、时钟(Clock)、同步复位(synchronous reset,R)、数据
    发表于 01-25 07:27 3次下载
    Xilinx源语-------<b class='flag-5'>FDRE</b>

    FPGA——LUT/FDRE/FDCE/FDSE/FDPE

    因为当输入数据的位数远大于一个LUT的输入时,就需要用多个LUT级联来实现逻辑,那么级联产生的延时也就不可避免了,这样就会制约系统的运行频率。那么为了避免级联数过于多,就采用插入寄存器的方法来实现
    的头像 发表于 11-09 14:43 1133次阅读

    什么是触发器?触发器的作用是什么?触发器触发方式

    什么是触发器?触发器的作用是什么?触发器触发方式 触发器是一种在数据库中执行自动化操作的工具。它是一种特殊的存储过程,可以监视数据库表的变
    的头像 发表于 08-24 15:50 3791次阅读

    t触发器和jk触发器的区别和联系

    触发器是数字电路中常用的组合逻辑电路,在现代电子系统中有着广泛的应用。其中,最常用的两种触发器是T触发器和JK触发器。本文将详细介绍T触发器
    的头像 发表于 02-06 14:04 535次阅读