0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

基于FPGA的TDC延时设计方案

C29F_xilinx_inc 来源:赛灵思 作者:赛灵思 2022-02-16 16:21 次阅读

1、参考

https://cas.tudelft.nl/fpga_tdc/TDC_basic.html

2、原理

采用FPGA的CARRY4进位单元,每个CARRY4的COUT连接到下一个CARRY4的CIN,这样级联起来,形成延时链;每个COUT做为抽头输出到触发器,通过本地时钟进行数据采样。假定每个延时链的延时是固定的(最后需要标定),可通过采样值大致估算所测信号与本地时钟上升沿之间的间隔,当得到适当的标定后,可获得较高的精度。

3、当前测试的芯片是XC7K325TFFG900 -2

仿真中的carry4 除第一级外,其他的carry4输出到输入延迟固定都是53ps,但是这是4个进位的延迟时间,只是vivado仿真工具有限制,且需要后仿真。

基于FPGA的TDC延时设计方案

4、源代码

顶层

tdc_top.v

module tdc_top#(
parameter STAGE = 200,
parameter GAP_BITS = 8
)(
input wire sg_start,
input wire clk_sys,
input wire reset ,
output wire cs_gap,
output wire [GAP_BITS-1:0] value_gap
);

wire clk_bufg;

clk_wiz_0 clk_wiz_0_inst(
.clk_out1(clk_bufg),
.clk_in1(clk_sys)
);

wire valid_pre;

wire valid;
wire [STAGE-1:0] value_latch;

wire bin_cs;
wire [GAP_BITS-1:0] bin;

wire sg_bufr;

BUFR #(
.BUFR_DIVIDE("BYPASS"), // Values: "BYPASS, 1, 2, 3, 4, 5, 6, 7, 8"
.SIM_DEVICE("7SERIES") // Must be set to "7SERIES"
)
BUFR_INST (
.O(sg_bufr), // 1-bit output: Clock output port
.CE(1'b1), // 1-bit input: Active high, clock enable (Divided modes only)
.CLR(1'b0), // 1-bit input: Active high, asynchronous clear (Divided modes only)
.I(sg_start) // 1-bit input: Clock buffer input driven by an IBUF, MMCM or local interconnect
);

FDCE #(
.INIT(1'b0) // Initial value of register (1'b0 or 1'b1)
)
FDCE_INST2 (
.Q(valid_pre), // 1-bit Data output
.C(clk_bufg), // 1-bit Clock input
.CE(1'b1), // 1-bit Clock enable input
.CLR(1'b0), // 1-bit Asynchronous clear input
.D(sg_bufr) // 1-bit Data input
);

FDCE #(
.INIT(1'b0) // Initial value of register (1'b0 or 1'b1)
)
FDCE_INST3 (
.Q(valid), // 1-bit Data output
.C(clk_bufg), // 1-bit Clock input
.CE(1'b1), // 1-bit Clock enable input
.CLR(1'b0), // 1-bit Asynchronous clear input
.D(valid_pre) // 1-bit Data input
);

line_tdc#(
.STAGE (STAGE)

) line_tdc_inst(
.sg_start (sg_bufr),
.clk_bufg (clk_bufg),
.reset (reset),
.value_latch (value_latch)
);

latch2bin#(
.GAP_BITS (GAP_BITS)
) latch2bin_inst(
.clk_bufg (clk_bufg),
.reset (reset),
.valid (valid),
.value_latch (value_latch),
.bin_cs (cs_gap),
.bin (value_gap)
);

延迟线代码

line_tdc.v

module line_tdc#(
parameter STAGE = 256
)(
input wire sg_start,
input wire clk_bufg,
input wire reset,
output wire [STAGE - 1:0] value_latch
);

wire [STAGE - 1:0] dat_reg0;
wire [STAGE - 1:0] dat_reg1;

genvar i;
generate
for (i = 0; i if(i == 0) begin :carry4_first
CARRY4 CARRY4_INST (
.CO (dat_reg0[3:0]), // 4-bit carry out
.O (), // 4-bit carry chain XOR data out
.CI (1'b0), // 1-bit carry cascade input
.CYINIT (sg_start), // 1-bit carry initialization
.DI (4'b0000), // 4-bit carry-MUX data in
.S (4'b1111) // 4-bit carry-MUX select input
);
end
if (i > 0) begin :carry4_others
CARRY4 CARRY4_OTHERS (
.CO (dat_reg0[4*(i+1)-1:4*i]), // 4-bit carry out
.O (), // 4-bit carry chain XOR data out
.CI (dat_reg0[4*i-1]), // 1-bit carry cascade input
.CYINIT (1'b0), // 1-bit carry initialization
.DI (4'b0000), // 4-bit carry-MUX data in
.S (4'b1111) // 4-bit carry-MUX select input
);
end
end
endgenerate

genvar j;
generate
for (j = 0; j FDRE #(
.INIT (1'b0) // Initial value of register (1'b0 or 1'b1)
) FDRE_INST0 (
.Q (dat_reg1[j]), // 1-bit Data output
.C (clk_bufg), // 1-bit Clock input
.CE (1'b1), // 1-bit Clock enable input
.R (reset), // 1-bit Synchronous reset input
.D (dat_reg0[j]) // 1-bit Data input
);

FDRE #(
.INIT (1'b0) // Initial value of register (1'b0 or 1'b1)
) FDRE_INST1 (
.Q (value_latch[j]), // 1-bit Data output
.C (clk_bufg), // 1-bit Clock input
.CE (1'b1), // 1-bit Clock enable input
.R (reset), // 1-bit Synchronous reset input
.D (dat_reg1[j]) // 1-bit Data input
);
end
endgenerate

endmodule

延迟线数字码转换二进制输出
latch2bin.v

module latch2bin#(
parameter GAP_BITS = 8

)(
input wire clk_bufg,
input wire reset,
input wire valid,
input wire [(2**GAP_BITS)-1:0] value_latch,
output reg bin_cs,
output reg [GAP_BITS-1:0] bin
);

(* *)reg [(2**GAP_BITS)-2:0] decoding [0:GAP_BITS-4];

(* *)reg [GAP_BITS:0] binary [0:GAP_BITS-3];

(* *)reg [GAP_BITS-2:0] data_valid;

(* *)reg [15:0] decode_final;

(* *)reg [GAP_BITS-1:0] bin_final;

(* *)reg [3:0] ones;

(* *)reg [GAP_BITS:0] binary_r;

always@(*) begin
decoding[0] = value_latch[(2**GAP_BITS)-2:0];
data_valid[0] end

genvar i;
generate
for (i = 0; i always@(posedge clk_bufg) begin
if(reset) begin
decoding[i+1] binary[i+1] data_valid[i+1] end
else begin
binary[i+1][GAP_BITS:GAP_BITS-1-i] data_valid[i+1] if(decoding[i][((2**(GAP_BITS-i))-2)/2]==1'b1) begin
decoding[i+1][((2**(GAP_BITS-i))-2)/2-1:0] end
else begin
decoding[i+1][((2**(GAP_BITS-i))-2)/2-1:0] end
end
end
end
endgenerate

always@(posedge clk_bufg) begin
if(reset) begin
ones data_valid[GAP_BITS-3] binary[GAP_BITS-3] bin_final end
else begin
ones decoding[GAP_BITS-4][0] + decoding[GAP_BITS-4][1] + decoding[GAP_BITS-4][2] + decoding[GAP_BITS-4][3] +
decoding[GAP_BITS-4][4] + decoding[GAP_BITS-4][5] + decoding[GAP_BITS-4][6] + decoding[GAP_BITS-4][7] +
decoding[GAP_BITS-4][8] + decoding[GAP_BITS-4][9] + decoding[GAP_BITS-4][10] + decoding[GAP_BITS-4][11] +
decoding[GAP_BITS-4][12] + decoding[GAP_BITS-4][13] + decoding[GAP_BITS-4][14] + decoding[GAP_BITS-4][15];

data_valid[GAP_BITS-3] binary[GAP_BITS-3]

data_valid[GAP_BITS-2] bin_final end
end

always@(posedge clk_bufg) begin
if(reset) begin
bin_cs bin end
else begin
if(data_valid[GAP_BITS-2] == 1'b1) begin
bin_cs bin end
else begin
bin_cs bin end
end
end

endmodule

测试

tb_tdc_top.v

module tb_tdc_top;

reg clk_sys;
reg sg_start;
reg reset;
wire [7:0] value_gap;

tdc_top tdc_top_inst(
.sg_start (sg_start),
.clk_sys (clk_sys),
.reset (reset),
.value_gap (value_gap)
);

initial begin
clk_sys = 0;
sg_start = 0;
reset = 1;
#1000;
reset = 0;
#116;
sg_start = 1;
#3;
sg_start = 0;
end

always #(5) clk_sys = ~clk_sys;

endmodule

时钟模块100M输入,400M输出,并经过BUFG资源。

基于FPGA的TDC延时设计方案

由于每个carry4的延迟时间是53ps,每个时钟周期是2.5ns,最多需要50个carry4级联即可。

5、约束

手册上有写,对于carry4的第一级约束后,下一级的carry4会以最邻近的摆放。tdc.xdc

set_property PACKAGE_PIN AD21 [get_ports reset]
set_property PACKAGE_PIN AE23 [get_ports sg_start]
set_property PACKAGE_PIN AD23 [get_ports clk_sys]
set_property IOSTANDARD LVCMOS33 [get_ports {value_gap[7]}]
set_property IOSTANDARD LVCMOS33 [get_ports {value_gap[6]}]
set_property IOSTANDARD LVCMOS33 [get_ports {value_gap[5]}]
set_property IOSTANDARD LVCMOS33 [get_ports {value_gap[4]}]
set_property IOSTANDARD LVCMOS33 [get_ports {value_gap[3]}]
set_property IOSTANDARD LVCMOS33 [get_ports {value_gap[2]}]
set_property IOSTANDARD LVCMOS33 [get_ports {value_gap[1]}]
set_property IOSTANDARD LVCMOS33 [get_ports {value_gap[0]}]
set_property IOSTANDARD LVCMOS33 [get_ports cs_gap]
set_property IOSTANDARD LVCMOS33 [get_ports reset]
set_property IOSTANDARD LVCMOS33 [get_ports sg_start]
set_property IOSTANDARD LVCMOS33 [get_ports clk_sys]

set_property LOC SLICE_X0Y0 [get_cells line_tdc_inst/genblk1[0].carry4_first.CARRY4_INST]

6、后仿真测试结果

基于FPGA的TDC延时设计方案

基于FPGA的TDC延时设计方案
基于FPGA的TDC延时设计方案
基于FPGA的TDC延时设计方案
基于FPGA的TDC延时设计方案
基于FPGA的TDC延时设计方案
7、以上可以对sg_start和clk_bufg两个信号的间隔进行大致估算,通过计算大致可计算出第一级carry4输入的延时。

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1599

    文章

    21279

    浏览量

    592839
  • 触发器
    +关注

    关注

    14

    文章

    1674

    浏览量

    60380
  • TDC
    TDC
    +关注

    关注

    0

    文章

    30

    浏览量

    13633
收藏 人收藏

    评论

    相关推荐

    MCU如何配置固定TDC

    如附图所示,在发送和接收消息期间,控制器开始发送比特的时间与从接收终端接收到位置的时间之间存在延迟,即发送节点的延迟。 数据字段中 CANFD 的采样点需要采用发送节点延迟补偿机制(TDC 机制
    发表于 01-22 07:29

    如何使RC延时电路无延时呢?

    如何使RC延时电路无延时呢? RC延时电路是一种常见的电子电路,用于在电路中引入一个可控的时间延迟。然而,有时候我们可能需要使RC延时电路无延时
    的头像 发表于 11-20 17:05 495次阅读

    高速ADC电源设计方案

    电子发烧友网站提供《高速ADC电源设计方案.pdf》资料免费下载
    发表于 11-10 16:20 0次下载
    高速ADC电源<b class='flag-5'>设计方案</b>

    藏区太阳能照明壁柜砖的设计方案

    电子发烧友网站提供《藏区太阳能照明壁柜砖的设计方案.pdf》资料免费下载
    发表于 11-08 10:24 0次下载
    藏区太阳能照明壁柜砖的<b class='flag-5'>设计方案</b>

    C波段二级放大电路设计方案

    电子发烧友网站提供《C波段二级放大电路设计方案.pdf》资料免费下载
    发表于 11-07 09:18 2次下载
    C波段二级放大电路<b class='flag-5'>设计方案</b>

    基于AVR单片机的终端显控设计方案

    电子发烧友网站提供《基于AVR单片机的终端显控设计方案.doc》资料免费下载
    发表于 11-03 10:53 0次下载
    基于AVR单片机的终端显控<b class='flag-5'>设计方案</b>

    2023年电子设计大赛G题火源设计方案

    2023年电子设计大赛G题火源设计方案
    的头像 发表于 11-03 09:04 553次阅读
    2023年电子设计大赛G题火源<b class='flag-5'>设计方案</b>

    基于CPLD/FPGA的多串口扩展设计方案

    电子发烧友网站提供《基于CPLD/FPGA的多串口扩展设计方案.pdf》资料免费下载
    发表于 10-27 09:45 3次下载
    基于CPLD/<b class='flag-5'>FPGA</b>的多串口扩展<b class='flag-5'>设计方案</b>

    电力变压器保护设计方案

    电力变压器保护设计方案
    的头像 发表于 10-23 09:35 344次阅读
    电力变压器保护<b class='flag-5'>设计方案</b>

    简易信号发生器设计方案

    电子发烧友网站提供《简易信号发生器设计方案.pdf》资料免费下载
    发表于 10-20 09:43 1次下载
    简易信号发生器<b class='flag-5'>设计方案</b>

    基于FPGA的PCI硬件加解密卡的设计方案

    电子发烧友网站提供《基于FPGA的PCI硬件加解密卡的设计方案.pdf》资料免费下载
    发表于 10-18 11:18 0次下载
    基于<b class='flag-5'>FPGA</b>的PCI硬件加解密卡的<b class='flag-5'>设计方案</b>

    求一种基于FPGA时间数字转换(TDC)设计方案

    时间数字转换(Time-to-Digital Converter,TDC)是一种用来测量时间的电路,它将连续的时间信号转换为数字信号,从而实现时间测量的数字化。
    发表于 09-22 16:11 1313次阅读
    求一种基于<b class='flag-5'>FPGA</b>时间数字转换(<b class='flag-5'>TDC</b>)<b class='flag-5'>设计方案</b>

    Confinity低延时消息传递(CLLM)解决方案

    电子发烧友网站提供《Confinity低延时消息传递(CLLM)解决方案.pdf》资料免费下载
    发表于 09-13 11:26 2次下载
    Confinity低<b class='flag-5'>延时</b>消息传递(CLLM)解决<b class='flag-5'>方案</b>

    求一种FPGA实现图像去雾的实现设计方案

    本文详细描述了FPGA实现图像去雾的实现设计方案,采用暗通道先验算法实现,并利用verilog并行执行的特点对算法进行了加速;
    发表于 06-05 17:01 893次阅读
    求一种<b class='flag-5'>FPGA</b>实现图像去雾的实现<b class='flag-5'>设计方案</b>

    一个全自动洗衣机的设计方案

    最近发现16进制很好用,于是出了一个设计方案。程序,报错,显示使用同一套方案。水位0-3,占2位。洗衣0-3,占2位。漂洗0-3,占2位脱水0-3,占2位比如显示:2213意思是水位2,洗2次,漂1次,脱3次。
    发表于 04-21 12:19