电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>新品快讯>珠海全志科技采用TSMC 55nm“半世代”工艺成功推出A10芯片平台

珠海全志科技采用TSMC 55nm“半世代”工艺成功推出A10芯片平台

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

TSMC和Synopsys将在生产中使用NVIDIA计算光刻平台

NVIDIA 于今日宣布,为加快下一代先进半导体芯片的制造速度并克服物理限制,TSMC 和 Synopsys 将在生产中使用 NVIDIA 计算光刻平台
2024-03-20 09:52:0088

Nvidia芯片工艺先进封装演进洞察

根据IRDS的乐观预测,未来5年,逻辑器件的制造工艺仍将快速演进,2025年会初步实现Logic器件的3D集成。TSMC和Samsung将在2025年左右开始量产基于GAA (MBCFET)的2nm和3nm制程的产品 [17]。
2024-03-15 09:16:2752

Ethernovia推出全球首款采用7nm工艺的汽车PHY收发器系列样品

硅谷初创企业 Ethernovia宣布推出全球首款采用 7nm 工艺的单端口和四端口 10G 至 1G 汽车 PHY 收发器系列样品,将在汽车领域带来巨大变革,满足软件定义车辆 (SDV) 不断增长的带宽需求
2024-03-15 09:07:00655

米尔T527开发板开箱验机

是一体化设计。 再看一下官方对开发板的介绍: 科技 T527 系列高性能处理器是一款基于八核 Cortex-A55 + HiFi4 DSP+RISC-V 多核异构工业级处理器,可选支持 AI 2
2024-03-07 10:40:27

V853平台上成功部署深度学习步态识别算法

北理工通信课题组辛喆同学在本科毕业设计《基于嵌入式系统的步态识别的研究》中,成功将深度步态识别算法GaitSet移植到V853开发板上。本研究在CASIA-B数据集上进行测试,正常行走状态下该系
2024-03-04 10:15:03

2月芯片开源项目分享合集

1、项目名称:T113-S3智能家居86屏 作者的上一个作品的V3s的随身终端,由于硬件解码一直无法完成适配,于是作者找了另一块性能更强,接口更丰富的T113-S3来替代,并将其应用在智能家居
2024-03-02 14:39:17

【米尔-T113-i开发板试用】米尔-T113-i开发环境搭建

首先感谢MYIR & ELECFANS给与的使用米尔-T113-i开发板的机会。 一、开发板简介 米尔-T113-i开发板搭载T113处理器,双核A
2024-03-01 21:43:20

T527国产核心板及米尔配套开发板批量上市!

,配备八核Cortex-A55内核,采用RISC-V协处理器;T527核心板支持2Tops NPU,满足边缘智能AI加速应用;T527支持Kylo2.0异构多系统,支持android+linux或者
2024-02-23 18:33:30

无意发展至10nm以下,第二梯队晶圆代工厂的成熟工艺现状

梯队的厂商们还在成熟工艺上稳扎稳打。   早在两年前,我们还会将28nm视作成熟工艺以及先进工艺的分水岭。但随着3nm推出,以及即将到来的2nm,成熟工艺的定义已经发生了变化,分水岭已然换成了T2和T3晶圆厂不愿投入的7nm/8nm工艺
2024-02-21 00:17:002598

台积电2nm制程进展顺利

台中科学园区已初步规划A14和A10生产线,将视市场需求决定是否新增2nm制程工艺
2024-01-31 14:09:34241

1月芯片开源项目分享合辑

的奇葩组合。开发板主控是V831,采用Arm Cortex-A7 + 0.25T NPU的内核,集成64MB DDR,支持Linux 4.9 Tina系统。固件可以借用Sipeed的M2Dock
2024-01-29 10:20:33

苹果将成为首个采用其最新2nm工艺的客户

2nm工艺是台积电采用的革新性GAA(Gate-All-Around)技术,在相同功耗下相比当前最先进的N3E工艺,速度提升10%至15%,或在相同速度下功耗降低25%至30%。这一突破将大大提升苹果设备的性能,并延长电池使用时间。
2024-01-26 15:51:50208

首发-基于A527的嵌入式安卓主板方案 搭载安卓13系统

 音诺恒首发基于A527开发的AI-818 八核A55高性能POS收银AI称主板方案          AI-818采用
2024-01-26 15:32:06

苹果将抢先采用台积电2nm工艺,实现技术独享

例如,尽管iPhone 15 Pro已发布四个月,A17 Pro仍在使用台积电专有的3nm工艺。根据MacRumors的报告,这一趋势似乎仍将延续至2nm工艺
2024-01-26 09:48:34202

苹果欲优先获取台积电2nm产能,预计2024年安装设备生产

有消息人士称,苹果期望能够提前获得台积电1.4nm(A14)以及1nmA10)两种更为先进的工艺的首次产能供应。据了解,台积电2nm技术开发进展顺利,预期采用GAA(全栅极环绕)技术生产2nm制程产品;
2024-01-25 14:10:18158

国产FPGA介绍-上海安路

计划与国内通信企业展开深度合作。 其FPGA从55/40nm进入主流28nm工艺平台,在器件性能和容量上也都有较大的提升,相应地对FPGA编译软件和IP也提高了要求,28nm器件预计在2020年批量供应。
2024-01-24 10:46:50

国产FPGA介绍-紫光同创

高性能FPGA芯片Titan系列,采用40nm工艺,可编程逻辑资源最高达18万个,已广泛应用于通信、信息安全等领域。 Titan系列高端FPGA产品PGT180H已向国内多家领先通信设备厂商批量供货
2024-01-24 10:45:40

台积电宣布斥资逾万亿新台币,在嘉义科学园区设立1nm制程代工厂

台积电在上月早些时候的IEDM 2023大会中宣布,计划推出包含高达1万亿个晶体管的芯片封装方案,此举与英特尔去年公布的规划相呼应。为达成这一目标,该公司正专注于N2和N2P的2nm级生产节点及A14和A10的1.4nm级制造工艺,预估将于2030年投入使用。
2024-01-23 10:35:061428

台积电第一家日本工厂即将开张:预生产28nm工艺芯片

这座晶圆厂于2022年4月开始新建,大楼主结构已完工,且办公室部分区域也在今年8月启用。将生产N28 28nm工艺芯片,这是日本目前最先进的半导体工艺。22ULP工艺也会在这里生产,但注意它不是22nm,而是28nm的一个变种,专用于超低功耗设备。
2024-01-03 15:53:27433

台积电3nm工艺预计2024年产量达80%

据悉,2024年台积电的第二代3nm工艺(称为N3E)有望得到更广泛运用。此前只有苹果有能力订购第一代N3B高端晶圆。经过解决工艺难题及提升产量后,台积电推出经济实惠的3nm版型,吸引更多企业采用
2024-01-03 14:15:17279

米尔首发-T527核心板-国产开发板,8核A55赋能边缘计算

 MYC-LT527MX核心板及开发板米尔首发T527,八核A55赋能边缘计算T527处理器,八核A55,高效赋能边缘计算;多媒体功能强大:具备G57 GPU、4K编解码VPU
2023-12-29 16:05:25

特斯拉加入台积电3nm芯片NTO客户名单,计划生产次世代FSD智驾芯片

据台积电公布的蓝图,N3P 工艺比现有的 N3E 工艺性能提高 5%,能耗降低 5%至 10%,芯片密度增加 1.04 倍。台积电声称,N3P 的 PPA 成本和技术成熟程度都超过了Intel的 18A工艺
2023-12-28 15:15:09326

联发科天玑9400将采用台积电N3(3nm平台,预计2024年下半年上市

另外一位泄密者透露称,天玑9400计划于2024年2月份开始量产,而潜在终端用户包括OPPO、vivo以及小米等知名品牌。值得注意的是,据悉高通的下一代骁龙8Gen4芯片同样考虑采用3nm工艺和全大核设计
2023-12-18 15:02:192752

飞腾派及各种类似派硬件参数对比

TB-RK3399Pro Starfive Visionfire 2 Khadas VIM3 芯片型号 飞腾E2000Q SMIC 14nm 瑞芯微RK3399 TSMC 28nm 瑞芯微RK3399Pro
2023-12-14 23:33:28

一文详解芯片的7nm工艺

芯片的7nm工艺我们经常能听到,但是7nm是否真的意味着芯片的尺寸只有7nm呢?让我们一起来看看吧!
2023-12-07 11:45:311594

T113i全国产核心板上市

超低价、超灵活、超全能!飞凌嵌入式FET113i-S全国产核心板正式发布!整板采用100%国产工业级元器件,含税价最低仅需88元! FET113i-S核心板基于T113-i工业级处理器开发
2023-11-20 16:32:40

今日看点丨消息称英伟达 RTX 50 显卡采用台积电 3nm 工艺;起亚称不放弃中国市场,正与百度研发车机系统

,英伟达当前的 RTX 40 显卡采用TSMC 4N”工艺,没有说明具体是几纳米工艺,有报道称是定制的 5nm 工艺。英伟达官方表示,在 TSMC 4N 定制工艺技术加持下,RTX 40 系列 GPU
2023-11-20 11:05:44632

Banana Pi BPI-M7 迷你尺寸开源硬件开发板采用瑞芯微RK3588芯片设计

PI-co ITX 外形规格(2.5 英寸,92 x 62 毫米) RK3588供电,8nm制造工艺四核 A76 2.4Ghz + 四核 A55 1.8GhzMali G610MC4 GPU(最多 5
2023-11-18 13:51:33

迷你尺寸开源硬件开发板Banana Pi BPI-M7 采用瑞芯微RK3588芯片设计

ITX 外形规格(2.5 英寸,92 x 62 毫米) RK3588供电,8nm制造工艺四核 A76 2.4Ghz + 四核 A55 1.8GhzMali G610MC4 GPU(最多 5 通道
2023-11-18 13:49:33

全志A10手册

全志A10手册
2023-11-16 16:33:5873

流片成本达10亿美元!苹果和Arm强强联合的芯片成功的吗?

苹果公司的M3系列芯片是其首批采用台积电最新3nm工艺量产的PC处理器,分析师Jay Goldberg表示,仅苹果M3、M3 Pro和M3 Max处理器的流片成本估计就达10亿美元。
2023-11-06 12:35:08629

台积电有望2025年量产2nm芯片

、2025年量产。此外台积电日本工厂有望2024年底开始量产,台积电美国亚利桑那州工厂计划2025年上半年开始量产。 而对于台积电3nm工艺芯片,大家关注最多的是苹果 A17Pro。A17 Pro 采用
2023-10-20 12:06:23930

2nm芯片什么时候出 2nm芯片手机有哪些

2nm芯片什么时候出 2nm芯片什么时候出这个问题目前没有相关官方的报道,因此无法给出准确的回答。根据网上的一些消息台积电于6月16日在2022年度北美技术论坛上首次宣布,将推出下一代先进工艺制程
2023-10-19 17:06:18799

2nm芯片是什么意思 2nm芯片什么时候量产

2nm芯片是什么意思 2nm芯片指的是采用了2nm制程工艺所制造出来的芯片,制程工艺的节点尺寸表示芯片上元件的最小尺寸。这意味着芯片上的晶体管和其他电子元件的尺寸可以达到2纳米级别。 更小的节点尺寸
2023-10-19 16:59:161958

轻量系统:XR806(XR806AF2L)

开发板名称(芯片型号) XR806(XR806AF2L) 芯片架构 CPU频率 介绍(字数请控制在200字以内) XR806是科技旗下子公司广州芯之联研发设计的一款支持WiFi和BLE
2023-10-19 11:14:35

标准系统:T507EVB_OH1

开发板名称(芯片型号) T507EVB_OH1 芯片架构 CPU频率 介绍(字数请控制在200字以内) EVB_OH1开发板是由厚德物联网出品,搭载了工业级T507芯片,拥有强大的编解码
2023-10-19 10:54:58

MCU市场分析:IP内核与产品情况

MCU发展趋势   性能:主频普遍在 30~200MHz;外设更 加丰富,性能更高,功 耗更低、安全性更强。   工艺:从最初的0.5微米,进步到了主流的90nm55nm,有的厂商还用了28nm
2023-10-18 16:07:342

【米尔-T113-S3开发板- 极致双核A7国产处理器-试用体验】米尔-T113-S3,一块你值得拥有的开发板!

近些年,国产MPU弯道超车越来越给力,芯片国产化,不再纯依赖进口,产品平台选型自主可控,未来国产化的主芯片平台产品将进一步蓬勃发展。为满足客户对入门级、低成本、高性能的国产需求,米尔电子推出
2023-10-17 20:57:36

2nm芯片工艺有望破冰吗?

芯片2nm
亿佰特物联网应用专家发布于 2023-10-11 14:52:41

安建科技推出基于七层光罩工艺的12英寸第七代IGBT芯片

近期,半导体产业网记者从安建科技官微获悉,安建科技将自有专利的第7层光罩工艺流程成功转移至国内顶级12-inch IGBT加工平台,也是国内首家推出基于7层光罩工艺的12-inch第七代IGBT的国产厂家。此项技术突破表征了安建在国内IGBT芯片及加工工艺设计方面的双重技术领先优势。
2023-10-08 18:20:22354

Banana Pi BPI-M4 Berry 采用H618芯片,板载2G RAM和8G eMMC

BPI-M4 Berry 开发板作为一款强大的单板计算机(SBC),充分挖掘了 H618 系统级芯片(SoC)的功能,为开发人员提供了令人印象深刻的性能和丰富的特性。与树莓派 4b 类似
2023-10-08 15:25:13

Cadence扩大TSMC N3E制程IP产品组合,推出新一代224G-LR SerDes IP,助力超大规模SoC设计

●  112G-ELR SerDes 在 TSMC N3E 制程上的硅结果实现了最佳 PPA ●  多个 Cadence IP 测试芯片TSMC N3E 制程上成功流片,包括 PCIe 6.0 和 5.0
2023-09-26 10:10:01320

台积电3nm月产能明年将增至10万片

台积电推出了世界上第一个3nm智能手机芯片apple a17 pro,该芯片也用于新款iphone 15 pro。据悉,tsmc到2023年为止,将只批量生产苹果的3nm工艺
2023-09-25 14:25:28616

Apple Silicon芯片最快2026年改用台积电2nm工艺

天丰国际分析师郭明錤谈到和英伟达将在不同产品上电的转向英伟达的新一代b100聚焦于人工智能芯片,苹果是2nm工程的大规模生产芯片首次推出的了。
2023-09-20 11:27:15491

什么是3nm工艺芯片?3nm工艺芯片意味着什么?

的大部分时间里,用于制造芯片工艺节点的名称是由晶体管栅极长度的最小特征尺寸(以纳米为单位)或最小线宽来指定的。350nm工艺节点就是一个例子。
2023-09-19 15:48:434477

Cortex-A55和Cortex-A73处理器的区别

28nm的制程工艺,而Cortex-A55采用了更为先进的14nm制程工艺。这意味着Cortex-A55能够在相同的性能下比Cortex-A73更省电,同时也能够在相同的功耗下比
2023-09-15 17:49:308321

今日看点丨消息称华为海思正开发麒麟 8 系和 9 系新平台,后者采用 N+2 工艺;蔚来将与蜂巢能源组建合资公

1. 消息称华为海思正开发麒麟 8 系和 9 系新平台,后者采用 N+2 工艺   根据 @数码闲聊站 的说法,华为目前正在开发新的海思麒麟芯片,包括中端的 8xx 系列和高端的 9xx 系列,而且
2023-09-14 11:01:305049

【开源硬件】芯片系列优秀开源工程分享

。 YuzukiRuler Pro采用 D1-H为主控,最大支持2GB内存,256GB储存的全面屏Linux小尺子,RISCV64 指令集,板载UART和OTG,还将屏幕升级为一个3.2寸800x320屏幕
2023-09-14 09:49:58

突破!国产3nm成功流片,预计明年量产

据21ic了解,联发科技2022年11月发布的“天玑9200”旗舰芯片,首次采用了台积电第二代4nm制程工艺;而即将在今年下半年发布的“天玑9300”,据说仍会采用台积电4nm工艺。由此推测,明年的这款3nm旗舰芯片,可能就是下一代的“天玑9400”。
2023-09-11 17:25:506325

苹果A17芯片采用台积电3nm工艺,GPU提升可达30%

Plus、iPhone 15 Pro/Max 四款型号,全系灵动岛、USB-C 口,其中 15/Plus 将采用A16 芯片、6GB 内存,15 Pro/Max 则采用最新的 3nm 工艺 A17
2023-09-11 16:17:15727

联发科台积电3nm天玑旗舰芯片成功流片 或为“天玑9400”

成功流片。 3NM制程天玑旗舰芯片量产时间预计在2024年,2024年下半年会正式上市。业内估计3NM的MediaTek旗舰芯片型号应该不是今年上市的天玑9300,天玑9300可能采用
2023-09-08 12:36:131373

a17芯片是多少nm工艺

苹果即将发布的iPhone 15系列将搭载的芯片已经确定,确认iPhone 15和iPhone 15 Plus两款机型将继续采用与iPhone 14 Pro同款的A16芯片。而iPhone 15 Pro和iPhone 15 Pro Max则将搭载全新苹果A17芯片,独享这颗全球首款3nm芯片
2023-09-01 10:43:411702

英特尔芯片订单延期,消息称苹果将包圆台积电年内所有 3nm 产能

7 中 A10 Fusion 全部订单,从此成为 A 系列芯片的唯一代工方。 当然,Mac 和 iPad 中所使用的 Apple Silicon 也是如此,台
2023-08-31 08:41:22243

中兴宣布已成功自研7nm芯片,已拥有芯片设计和开发能力

除了中兴通讯和华为之外,国内还有其他拥有自研芯片设计和开发能力的公司。例如,小米旗下的松果电子于2017年发布了其首款自研芯片澎湃S1。虽然与7nm芯片相比,澎湃S1采用的制造工艺10nm或14nm,但这一成果仍然显示了松果电子在芯片设计和开发领域的实力。
2023-08-30 17:11:309496

华为宣布:成功研发全新7nm麒麟5G芯片

芯片采用了7nm工艺,相较上一代产品,功耗降低了20%,而处理能力则提升了30%。它支持全网通和5G双模,可以广泛应用于各种智能终端。
2023-08-28 17:07:268409

新思科技IP成功在台积公司3nm工艺实现流片

基于台积公司N3E工艺技术的新思科技IP能够为希望降低集成风险并加快首次流片成功芯片制造商建立竞争优势
2023-08-24 17:37:47657

SALELF4系列FPGA数据手册

级 IO 扩展应用需求。EF4 器件采用 55nm 低功耗工艺,针对车规器件的严苛要求设计,以满足器件的可靠性和性能要求。安路科技提供丰富的设计工具帮助用户有效地利用 EF4 平台实现复杂设计。业界领先的综合和布局布线工具,为用户设计高质量产品提供有力保障。
2023-08-09 08:03:31

EF3系列器件概述

EF3 系列器件是安路科技的第三代 FPGA 产品,采用先进的 55nm 低功耗工艺,最多支持 475 个用户 I/O,满足板级 IO 扩展应用需求,定位通信、工业控制和服务器市场,旨在用于大批量
2023-08-09 07:57:27

EF4系列器件概述

控制和服务器市场。EF4 器件采用 55nm 低功耗工艺,最多支持 279 个用户 I/O,满足客户板级 IO 扩展应用需求和器件的可靠性和性能要求。安路科技提供丰富的设计工具帮助用户有效地利用 EF4 平台实现复杂设计。业界领先的综合和布局布线工具,为用户设计高质量产品提供有力保障。
2023-08-09 06:01:19

科技主要负责是那一块?

科技主要负责是那一块?
2023-08-08 15:53:40

【全球首发】双核Cortex-A7@1.2GHz,仅79元起!#科技 #T113

科技
Tronlong创龙科技发布于 2023-08-07 15:06:29

极海半导体推出APM32F411系列高性能高适配型MCU

系列高性能高适配型MCU,该系列新品基于Arm Cortex -M4F内核,采用55nm工艺制程,拥有大容量Flash、SRAM以及丰富的片内外设,具有优秀的方案适用性和可靠性。
2023-08-06 10:32:48448

飞凌嵌入式国产平台大盘点之瑞芯微系列

“国产化”一词正在被越来越多的提及,有着越来越高的关注度,飞凌嵌入式也已与多家国内芯片原厂联合推出了数款国产化智能平台。为了帮助大家快速认识飞凌嵌入式推出的各系列国产核心板产品,小编将以芯片品牌进行
2023-08-05 11:12:15

通用引导程序a10 5.13版本

电子发烧友网站提供《通用引导程序a10 5.13版本.zip》资料免费下载
2023-08-04 16:38:430

今日看点丨传三星3纳米工艺平台第三款产品投片;vivo 推出 6nm 自研影像芯片 V3

1. 传三星3 纳米工艺平台第三款产品投片   外媒报道,尽管受NAND和DRAM市场拖累,三星电子业绩暴跌,但该公司已开始生产其第三个3nm芯片设计,产量稳定。根据该公司二季度报告,当季三星
2023-07-31 10:56:44480

芯片工艺的"7nm" 、"5nm"到底指什么?

近几年,芯片产业越来越火热,一些行业内的术语大家也听得比较多了。那么工艺节点、制程是什么,"7nm" 、"5nm"又是指什么?
2023-07-28 17:34:335639

今日看点丨消息称台积电 3nm 工艺 A17 Bionic、M3 良率仅 55%;光刻胶供应商陶氏化学美国Plaquemine工厂爆炸

1. 消息称台积电 3nm 工艺 A17 Bionic 、M3 良率仅 55% ,苹果只付合格品费用   据报道,苹果公司为了生产 A17 Bionic 和 M3 芯片,已经预订了台积电 90
2023-07-17 11:11:08787

Cadence发布面向TSMC 3nm 工艺的 112G-ELR SerDes IP 展示

3nm 时代来临了!Cadence 在 2023 年 TSMC 北美技术研讨会期间发布了面向台积电 3nm 工艺(N3E)的 112G 超长距离(112G-ELR)SerDes IP 展示,这是 Cadence 112G-ELR SerDes IP 系列产品的新成员。
2023-07-10 09:26:20406

IP_数据表(I-3):16Gpbs SerDes for TSMC 28nm HPC+

IP_数据表(I-3):16Gpbs SerDes for TSMC 28nm HPC+
2023-07-06 20:21:220

IP_数据表(I-10):USB2.0 Transceiver for TSMC 28nm HPC+

IP_数据表(I-10):USB2.0 Transceiver for TSMC 28nm HPC+
2023-07-06 20:21:030

IP_数据表(Z-3):GPIO for TSMC 16nm FF+

IP_数据表(Z-3):GPIO for TSMC 16nm FF+
2023-07-06 20:20:310

IP_数据表(Z-2):GPIO for TSMC 40nm N40EF2

IP_数据表(Z-2):GPIO for TSMC 40nm N40EF2
2023-07-06 20:20:122

IP_数据表(Z-5):3.0V StndardCell for TSMC 40nm LP

IP_数据表(Z-5):3.0V StndardCell for TSMC 40nm LP
2023-07-06 20:19:241

IP_数据表(Z-1):GPIO for TSMC 28nm HPM/HPC/HPC+

IP_数据表(Z-1):GPIO for TSMC 28nm HPM/HPC/HPC+
2023-07-06 20:19:040

IP_数据表(I-20):FPD-Link Transmitter for TSMC 28nm HPC

IP_数据表(I-20):FPD-Link Transmitter for TSMC 28nm HPC
2023-07-06 20:18:392

IP_数据表(I-19):FPD-Link Receiver for TSMC 28nm HPC+

IP_数据表(I-19):FPD-Link Receiver for TSMC 28nm HPC+
2023-07-06 20:18:200

IP_数据表(I-6):SATA PHY for TSMC 28nm HPC+

IP_数据表(I-6):SATA PHY for TSMC 28nm HPC+
2023-07-06 20:18:070

IP_数据表(I-4):16Gpbs SerDes for TSMC 28nm HPC

IP_数据表(I-4):16Gpbs SerDes for TSMC 28nm HPC
2023-07-06 20:17:540

IP_数据表(I-1):Combo Serdes PHY for TSMC 28nm HPM

IP_数据表(I-1):Combo Serdes PHY for TSMC 28nm HPM
2023-07-06 20:17:410

IP_数据表(I-9):USB2.0 Transceiver for TSMC 40nm LP

IP_数据表(I-9):USB2.0 Transceiver for TSMC 40nm LP
2023-07-06 20:12:510

IP_数据表(Z-4):1.8V StndardCell for TSMC 28nm HPC+

IP_数据表(Z-4):1.8V StndardCell for TSMC 28nm HPC+
2023-07-06 20:12:360

IP_数据表(I-2):Combo PHY for TSMC 28nm HPM

IP_数据表(I-2):Combo PHY for TSMC 28nm HPM
2023-07-06 20:12:261

IP_数据表(I-5):SerDes PHY for TSMC 28nm HPC+

IP_数据表(I-5):SerDes PHY for TSMC 28nm HPC+
2023-07-06 20:11:570

IP 数据表: 3.0V Standard Cell for TSMC 40nm LP

IP 数据表: 3.0V Standard Cell for TSMC 40nm LP
2023-07-05 19:47:260

IP 数据表: 1.8V Standard Cell for TSMC 28nm HPC+

IP 数据表: 1.8V Standard Cell for TSMC 28nm HPC+
2023-07-05 19:47:130

IP_数据表(I-18):MIPI D-PHY Receiver for TSMC 40nm LP

IP_数据表(I-18):MIPI D-PHY Receiver for TSMC 40nm LP
2023-07-05 19:45:561

IP_数据表(I-27):MIPI D-PHY Tx/Rx for TSMC 40nm LP

IP_数据表(I-27):MIPI D-PHY Tx/Rx for TSMC 40nm LP
2023-07-05 19:45:111

三星电子2nm制程工艺计划2025年量产 2027年开始用于代工汽车芯片

外媒在报道中提到,根据公布的计划,三星电子将在2025年开始,采用2nm制程工艺量产移动设备应用所需的芯片,2026年开始量产高性能计算设备的芯片,2027年则是利用2nm制程工艺开始量产汽车所需的芯片
2023-06-30 16:55:07458

【资料分享】科技A40i国产评估版规格书

本帖最后由 Tronlong创龙科技 于 2023-6-15 10:46 编辑 1 评估板简介创龙科技TLA40i-EVM是一款基于科技A40i处理器设计的4核ARM Cortex-A
2023-06-15 10:20:50

芯动科技2023高端集成电路IP技术研讨会·北京站成功举办

经验,基于跨全球各大工艺厂从55nm到5nm的全套高速接口IP以及先进工艺SoC体系架构和GPU内核创新能力,芯动科技携手存算一体AI芯片技术提供商知存科技、一站式非挥发性存储IP及独立存储IC解决方案提供商创飞芯科技,为集成电路设计企业带来全新的设计思路与高效方
2023-06-12 18:15:01751

三大顶流半导体厂商高端工艺逐鹿,你更看好谁

在代工行业,采用先进的工艺节点更能带来明显的成本竞争优势。2020年,台积电(TSMC)是业界唯一同时使用7nm和5nm工艺节点用于IC制造的企业,此举也使得TSMC每片晶圆的总收入大幅增加,达到1634美元。这一数字比GlobalFoundries高66%,是UMC和中芯国际的两倍多。
2023-05-20 14:58:50628

Cadence 发布面向 TSMC 3nm 工艺的 112G-ELR SerDes IP 展示

Cadence 112G-ELR SerDes IP 系列产品的新成员。在后摩尔时代的趋势下,FinFET 晶体管的体积在 TSMC 3nm 工艺下进一步缩小,进一步采用系统级封装设计(SiP)。通过
2023-05-19 16:25:12784

Cadence发布面向TSMC 3nm工艺的112G-ELR SerDes IP展示

3nm 时代来临了!Cadence 在 2023 年 TSMC 北美技术研讨会期间发布了面向台积电 3nm 工艺(N3E)的 112G 超长距离(112G-ELR)SerDes IP 展示,这是 Cadence 112G-ELR SerDes IP 系列产品的新成员。
2023-05-19 15:23:07675

OpenHarmony智慧设备开发-芯片模组简析RK3568

RK3568 :已具备产品化的完成OpenHarmony移植适配的模组。 主要能力: 基于Rockchip RK3568平台,集成双核架构GPU以及高效能NPU,四核64位Cortex-A55
2023-05-16 14:56:42

505nm、785nm、808nm、940nm激光二极管TO56 封装、 500mW 100mw

1300NM 金属封装工艺是指采用金属外壳作为封装壳体或底座,在其内部安装芯片或基板并进行键合连接,外引线通过金属-玻璃(或陶瓷)组装工艺穿过金属外壳,将内部元件的功能引出、外部电源信号等输人的一种电子
2023-05-09 11:23:07

Cadence发布基于Integrity 3D-IC平台的新设计流程,以支持TSMC 3Dblox™标准

楷登电子(美国 Cadence 公司,NASDAQ:CDNS)近日宣布推出基于 Cadence Integrity 3D-IC 平台的新设计流程,以支持 TSMC 3Dblox 标准。TSMC
2023-05-09 09:42:09615

香蕉派 BPI-W3采用瑞芯微RK3588开源硬件开发板公开发售

[]() RK3588是瑞芯微(Rockchip)推出的新一代旗舰高端处理器。采用8nm工艺设计,搭载四核A76+四核A55的八核CPU, Arm高性能GPU,内置6T计算能力的NPU。具有较强的视觉处理能力,能
2023-04-24 09:29:06

45nm工艺直跃2nm工艺,日本芯片工艺凭什么?

搞定2nm工艺需要至少3方面的突破,一个是技术,一个是资金,一个是市场,在技术上日本是指望跟美国的IBM公司合作,后者前两年就演示过2nm工艺,但IBM的2nm工艺还停留在实验室级别,距离量产要很远。
2023-04-14 10:24:55507

ARM/FPGA/DSP板卡选型大全,总有一款适合您

六大原厂工业处理器平台图 2 创龙科技产品线概览5款全国产平台——工业级+国产化率100%T113-i双核Cortex-A7@1.2GHz含税99元起T3/A40i四核
2023-03-31 16:19:06

已全部加载完成