0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示
电子发烧友网 > 技术文库

电子发烧友网技术文库为您提供最新技术文章,最实用的电子技术文章,是您了解电子技术动态的最佳平台。

  • FPGA设计的八个重要知识点

    这里的面积指一个设计消耗FPGA/CPLD的逻辑资源的数量,对于FPGA可以用消耗的FF(触发器)和LUT(查找表)来衡量,更一般的衡量方式可以用设计所占的等价逻辑门数。...

    1599次阅读 · 0评论 fpgaverilog时序电路
  • TensorFlow通过优化的开源SYCL™库获得对PowerVR GPU的原生支持

    Imagination Technologies宣布:得益于全新优化的开源SYCL神经网络库,使用TensorFlow的开发人员将可以直接面向PowerVR图形处理器(GPU)进行开发。其首个版本将在2019年提供商用。...

    1230次阅读 · 0评论 gpuAI图形处理器powervrtensorflow
  • 低漏电多路复用器在高阻抗PLC系统中是否重要?

    漏电流是一个重要参数,因为它在开关打开和断开时都会导致直流误差。多路复用器数据表有许多与漏电流相关的规格,包括当开关合上或断开时流过源极管脚(IS)或漏极管脚(ID)的漏电流。...

    1714次阅读 · 0评论 传感器plc多路复用器高阻抗
  • 单片机编程器是什么_单片机编程器应用

    单片机编程器(Single-chip programmer products ),是一款低成本开发编程器。它能够对Microchip 的大多数闪存单片机编程。单片机编程器旨在用于开发编程,对于生产编程,还是选用其他为生产环境设计的第三方编程器。...

    15746次阅读 · 0评论 编程器单片机编程器
  • 适用于PLC/DCS应用,支持HART和Modbus连接的模拟I/O系统

    可编程逻辑控制器(PLC)和分布式控制系统(DCS)被用于监测和控制工业自动化应用中的智能(支持HART)和模拟现场仪器仪表。...

  • xilinx7系列FPGA的7种逻辑代码配置模式

    今天咱们聊聊xilinx7系列FPGA配置的相关内容。总所周知FPGA上电后,其工作的逻辑代码需要从外部写入FPGA,FPGA掉电后其逻辑代码就丢失,因此FPGA可以被无限次的配置不同的逻辑代码,但FPGA需要配备外部的非易失存储器来存储其逻辑代码或者通过单片机、DSP或者其它控制器来实现FPGA上...

    2787次阅读 · 0评论 fpgaxilinx串行
  • Verilog可综合的循环语句

    Verilog中提供了四种循环语句,可用于控制语句的执行次数,分别为:for,while,repeat,forever。其中,for,while,repeat是可综合的,但循环的次数需要在编译之前就确定,动态改变循环次数的语句是不可综合的。forever语句是不可综合的,主要用于产生各种仿真激励。...

    18244次阅读 · 0评论 verilog
  • 以FPGA和LAN91C111为基础的嵌入式以太网接口电路设计详解

    随着CPU性能的大幅度提升,嵌入式系统的设计已经进入了更广泛的领域。随着FPGA的不断发展和规模的进一步强大,SOPC的应用也越来越广泛。由于SOPC的可编程特性很受嵌入式系统开发人员的青睐,因此,随着信息产业和微电子技术的发展,可编程嵌入式系统设计已经成为信息产业最热门的技术之一,FPGA正以各种...

    3556次阅读 · 0评论 fpga嵌入式以太网LAN91C111
  • Xilinx的三种高扇出解决方法

    Fanout,即扇出,指模块直接调用的下级模块的个数,如果这个数值过大的话,在FPGA直接表现为net delay较大,不利于时序收敛。因此,在写代码时应尽量避免高扇出的情况。但是,在某些特殊情况下,受到整体结构设计的需要或者无法修改代码的限制,则需要通过其它优化手段解决高扇出带来的问题。...

    3536次阅读 · 0评论 fpga寄存器xilinx
  • 云服务器FPGA架构及其电源方案初探

    天的 CPU 一直无法满足当前计算密集型应用(如机器学习、数据分析和视频处理等)的需求。加上网络与存储方面日益明显的瓶颈,云服务供货商转而采用加速器来提高其云数据中心的整体吞吐量和效率。...

    2689次阅读 · 0评论 fpgaasiccpu云服务器
  • PLC可编程逻辑控制器的基本构成及应用

    plc的下端(输入端)为继电器、晶体管和晶闸管等控制部件,而上端一般是面向用户的微型计算机。...

    2456次阅读 · 0评论 plc可编程逻辑控制器
  • 基于FPGA的按键控制LED灯亮灭

    按键是常用的一种控制器件。生活中我们可以见到各种形式的按键,由于其结构简单,成本低廉等特点,在家电、数码产品、玩具等方面有广泛的应用。...

    6653次阅读 · 0评论 fpgaled
  • 基于FPGA的UART串口通信实验

    串口是“串行接口”的简称,即采用串行通信方式的接口。串行通信将数据字节分成一位一位的形式在一条数据线上逐个传送,其特点是通信线路简单,但传输速度较慢。...

    4103次阅读 · 0评论 fpgauart
  • 基于可重构Virtex FPGA的天基系统

    目前,天基电子系统开发人员面临的压力越来越大,在项目日程安排越来越紧张且预算一再削减的情况下,他们却需要提供更高的系统性能。然而,天基系统具有一套独特而严格的尺寸、重量和功耗(SWAl?)限制,这对于设计人员来说无疑是一个棘手的问题。...

    761次阅读 · 0评论 处理器fpga存储器
  • FPGA进行静态时序分析

    静态时序分析简称STA,它是一种穷尽的分析方法,它按照同步电路设计的要求,根据电路网表的拓扑结构,计算并检查电路中每一个DFF(触发器)的建立和保持时间以及其他基于路径的时延要求是否满足。...

    2969次阅读 · 0评论 fpga触发器
  • FPGA调试过程与特殊管脚

    FPGA在上电后,会立刻将nSTATUS配置状态管脚置成低电平,并在上电复位(POR)完成之后释放它,将它置为高电平。作为配置状态输出管脚,在配置过程中如果有任何一个错误发生了,则nSTATUS脚会被置低。...

    2174次阅读 · 0评论 fpgaled
  • 基于FPGA的交换接口控制器开发

    与传统ASIC相比,FPGA和结构化ASIC的优势在于重用灵活性高、上市时间快、性能佳而成本低。FPGA和专用的IP模块可用于现有的商用AdvancedTCA平台,可用来开发可扩展的交换接口控制器(FIC),以加快产品开发的设计并使线卡方案具有鲁棒性和成本效益。...

    857次阅读 · 0评论 fpga控制器
  • LSTM的硬件加速方式

    Long-short term memory,简称LSTM,被广泛的应用于语音识别、机器翻译、手写识别等。LSTM涉及到大量的矩阵乘法和向量乘法运算,会消耗大量的FPGA计算资源和带宽。为了实现硬件加速,提出了稀疏LSTM。...

    2832次阅读 · 0评论 fpga带宽
  • 基于FPGA实现多种小波变换

    基于提升框架的小波变换方法,利用FPGA 可编程特性可实现多种小波变换。提升框架(LS :Lifting Scheme) 是由Sweldens 等人在近几年提出的一种小波变换方法,用它的框架结构能有效地计算DWT。对于较长的滤波器,LS 的操作次数比滤波器组的操作方式减少将近一半,更适合硬件实现。...

    1937次阅读 · 0评论 fpga滤波器vhdl
  • 常用的机器人编程方法有哪些

    机器人编程【robotprogramming】为使机器人完成某种任务而设置的动作顺序描述。机器人运动和作业的指令都是由程序进行控制,常见的编制方法有两种,示教编程方法和离线编程方法。...

    14437次阅读 · 0评论 机器人编程方法机器人编程
  • 型 号
  • 产品描述

推荐专栏

更多

    厂商互动