0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

标签 > SerDes

SerDes简介

  SERDES是英文SERializer(串行器)/DESerializer(解串器)的简称。它是一种主流的时分多路复用(TDM)、点对点(P2P)的串行通信技术。即在发送端多路低速并行信号被转换成高速串行信号,经过传输媒体(光缆或铜线),最后在接收端高速串行信号重新转换成低速并行信号。这种点对点的串行通信技术充分利用传输媒体的信道容量,减少所需的传输信道和器件引脚数目,提升信号的传输速度,从而大大降低通信成本。

SerDes百科

  SERDES是英文SERializer(串行器)/DESerializer(解串器)的简称。它是一种主流的时分多路复用(TDM)、点对点(P2P)的串行通信技术。即在发送端多路低速并行信号被转换成高速串行信号,经过传输媒体(光缆或铜线),最后在接收端高速串行信号重新转换成低速并行信号。这种点对点的串行通信技术充分利用传输媒体的信道容量,减少所需的传输信道和器件引脚数目,提升信号的传输速度,从而大大降低通信成本。

  分类

  SerDes 结构大致可以分为四类:并行时钟SerDes:将并行宽总线串行化为多个差分信号对,传送与数据并联的时钟。这些SerDes比较便宜,在需要同时使用多个SerDes 的应用中,可以通过电缆或背板有效地扩展宽总线;8B/10B 编码SerDes:将每个数据字节映射到10bit代码,然后将其串行化为单一信号对。10位代码是这样定义的:为接收器时钟恢复提供足够的转换,并且保证直流平衡(即发送相等数量的‘1’和‘0’)。这些属性使8B/10BSerDes 能够在有损耗的互连和光纤传输中以较少的信号失真高速运行;嵌入式时钟SerDes:将数据总线和时钟串化为一个串行信号对。两个时钟位,一高一低,在每个时钟循环中内嵌串行数据流,对每个串行化字的开始和结束成帧,因此这类SerDes也可称为“开始-结束位SerDes”,并且在串行流中建立定期的上升边沿。由于有效负载夹在嵌入式时钟位之间,因此数据有效负载字宽度并不限定于字节的倍数;位交错SerDes:将多个输入串行流中的位汇聚为更快的串行信号对。SERDES技术最早应用于广域网(WAN)通信。国际上存在两种广域网标准:一种是SONET,主要通行于北美;另一种是SDH,主要通行于欧洲。这两种广域网标准制订了不同层次的传输速率。万兆(OC-192)广域网已在欧美开始实行,中国大陆已升级到2.5千兆(OC-48)水平。SERDES技术支持的广域网构成了国际互联网络的骨干网。SERDES 并串行与串并行转换器,串化器/并化器 A device that serializes output from, and deserializes input to, a business machine.一种(信号)转换设备,对商业计算机的输出(信号)进行并串行(串行化)转换,而对其输入(信号)进行串并行(解串)转换。SERializer/DESerializer的缩 写。系统的设计师们会采用串行器/解串器(SERDES)技术的高速串行接口来取代传统的并行总线架构。基于SERDES的设计增加了带宽,减少了信号数量,同时带来了诸如减少布线冲突、降低开关噪声、更低的功耗和封装成本等许多好处。而SERDES技术的主要缺点是需要非常精确、超低抖动的元件来提供用于控制高数据速率串行信号所需的参考时钟。即使严格控制元件布局,使用长度短的信号并遵循信号走线限制,这些接口的抖动余地仍然是非常小的。

  理解SerDes

  FPGA发展到今天,SerDes(Serializer-Deserializer)基本上是标配了。从PCI到PCI Express, 从ATA到SATA,从并行ADC接口到JESD204, 从RIO到Serial RIO,…等等,都是在借助SerDes来提高性能。SerDes是非常复杂的数模混合设计,用户手册的内容只是描述了森林里面的一棵小树,并不能够解释SerDes是怎么工作的。SerDes怎么可以没有传输时钟信号?什么是加重和均衡?抖动和误码是什么关系?各种抖动之间有什么关系?本篇小文试着从一个SerDes用户的角度来理解SerDes是怎么设计的, 由于水平有限,一定有不够准确的地方,希望对刚开始接触SerDes的工程师有所帮助。

  Contents

  1. SerDes的价值。。. 1

  1.1并行总线接口。。. 1

  1.2 SerDes接口。。. 3

  1.3 中间类型。。. 4

  2. SerDes结构(architecture) 4

  2.1串行器解串器(Serializer/Deserializer) 6

  2.2发送端均衡器( Tx Equalizer) 8

  2.3接收端均衡器( Rx Equalizer) 9

  2.4时钟数据恢复(CDR) 13

  2.5 公用锁相环(PLL) 16

  2.6 SerDes编解码。。. 18

  2.7 SerDes收发Driver及差分接口转换。。. 19

  2.8 SerDes环回和调试。。. 19

  3.抖动和信号集成( Jitter, SI ) 19

  3.1 时钟的抖动(clock jitter) 19

  3.2. 数据的抖动(data jitter) 20

  4.信号集成(SI)及仿真。。. 23

  4.1信道channel 23

  4.2 芯片封装Package. 24

  4.3 SI仿真。。. 24

  5. 结尾。。. 25

  6.参考资料 了解更多的内容,可以阅读以下内容。。。. 25

  1. SerDes的价值

  1.1并行总线接口

  在SerDes流行之前,芯片之间的互联通过系统同步或者源同步的并行接口传输数据,图1.1演示了系统和源同步并行接口。

  理解SerDes <wbr>之一

  随着接口频率的提高,在系统同步接口方式中,有几个因素限制了 有效数据窗口宽度 的继续增加。

  l 时钟到达两个芯片的传播延时不相等(clock skew)

  l 并行数据各个bit的传播延时不相等(data skew)

  l 时钟的传播延时和数据的传播延时不一致(skew between data and clock)

  虽然可以通过在目的芯片(chip #2)内用PLL补偿时钟延时差(clock skew),但是PVT变化时,时钟延时的变化量和数据延时的变化量是不一样的。这又进一步恶化了数据窗口。

  源同步接口方式中,发送侧Tx把时钟伴随数据一起发送出去, 限制了clock skew对有效数据窗口的危害。通常在发送侧芯片内部,源同步接口把时钟信号和数据信号作一样的处理,也就是让它和数据信号经过相同的路径,保持相同的延时。这样PVT变化时,时钟和数据会朝着同一个方向增大或者减小相同的量,对skew最有利。

  我们来做一些合理的典型假设,假设一个32bit数据的并行总线,

  a)发送端的数据skew = 50 ps ---很高的要求

  b)pcb走线引入的skew = 50ps ---很高的要求

  c)时钟的周期抖动jitter = +/-50 ps ---很高的要求

  d)接收端触发器采样窗口 = 250 ps ---Xilinx V7高端器件的IO触发器

  可以大致估计出并行接口的最高时钟 = 1/(50+50+100+250) = 2.2GHz (DDR)或者1.1GHz (SDR)。

  利用源同步接口,数据的有效窗口可以提高很多。通常频率都在1GHz以下。在实际应用中可以见到如SPI4.2接口的时钟可以高达DDR 700MHz x 16bits位宽。DDR Memory接口也算一种源同步接口,如DDR3在FPGA中可以做到大约800MHz的时钟。

  要提高接口的传输带宽有两种方式,一种是提高时钟频率,一种是加大数据位宽。那么是不是可以无限制的增加数据的位宽呢?这就要牵涉到另外一个非常重要的问题-----同步切换噪声(SSN)。

  这里不讨论SSN的原理,直接给出SSN的公式 SSN = L *N* di/dt。L是芯片封装电感,N是数据宽度,di/dt是电流变化的斜率。随着频率的提高,数据位款的增加,SSN成为提高传输带宽的主要瓶颈。图1.2是一个DDR3串扰的例子。图中低电平的理论值在0V,由于SSN的影响,低电平表现为震荡,震荡噪声的最大值达610mV,因此噪声余量只有1.5V/2-610mV=140mV。

  理解SerDes <wbr>之一

  Figure 1.2 DDR3串扰演示

  因此也不可能靠无限的提高数据位宽来继续增加带宽。一种解决SSN的办法是使用差分信号替代单端信号,使用差分信号可以很好的解决SSN问题,代价是使用更多的芯片引脚。使用差分信号仍然解决不了数据skew的问题,很大位宽的差分信号再加上严格的时序限制,给并行接口带来了很大的挑战。

  1.2 SerDes接口

  源同步接口的时钟频率已经遇到瓶颈,由于信道的非理想(channel)特性,再继续提高频率,信号会被严重损伤,就需要采用均衡和数据时钟相位检测等技术。这也就是SerDes所采用的技术。SerDes(Serializer-Deserializer)是串行器和解串器的简称。串行器(Serializer)也称为SerDes发送端(Tx),(Deserializer)也称为接收端Rx。Figure1.3是一个N对SerDes收发通道的互连演示,一般N小于4。

  理解SerDes <wbr>之一

  可以看到,SerDes不传送时钟信号,这也是SerDes最特别的地方,SerDes在接收端集成了CDR(Clock Data Recovery)电路,利用CDR从数据的边沿信息中抽取时钟,并找到最优的采样位置。

  SerDes采用差分方式传送数据。一般会有多个通道的数据放在一个group中以共享PLL资源,每个通道仍然是相互独立工作的。

  SerDes需要参考时钟(Reference Clock),一般也是差分的形式以降低噪声。接收端Rx和发送端Tx的参考时钟可以允许几百个ppm的频差(plesio-synchronous system),也可以是同频的时钟,但是对相位差没有要求。

  作个简单的比较,一个SerDes通道(channel)使用4个引脚(Tx+/-,Rx+/-), 目前的FPGA可以做到高达28Gbps。而一个16bits的DDR3-1600的线速率为1.6Gbps*16 = 25Gbps,却需要50个引脚。此对比可以看出SerDes在传输带宽上的优势。

  相比源同步接口,SerDes的主要特点包括:

  l SerDes在数据线中时钟内嵌,不需要传送时钟信号。

  l SerDes通过加重/均衡技术可以实现高速长距离传输,如背板。

  l SerDes 使用了较少的芯片引脚

  1.3 中间类型

  也存在一些介于SerDes和并行接口之间的接口类型,相对源同步接口而言,这些中间类型的接口也使用串行器(Serializer)解串器(Deserializer),同时也传送用于同步的时钟信号。这类接口如视频显示接口7:1 LVDS等。

  2. SerDes结构(architecture)

  SerDes的主要构成可以分为三部分,PLL模块,发送模块Tx,接收模块Rx。为了方便维护和测试,还会包括控制和状态寄存器,环回测试,PRBS测试等功能。见图2.1。

  理解SerDes <wbr>之一

  Figure 2.1 Basic Blocks of a typical SerDes

  图中蓝色背景子模块为PCS层,是标准的可综合CMOS数字逻辑,可以硬逻辑实现,也可以使用FPGA软逻辑实现,相对比较容易被理解。褐色背景的子模块是PMA层,是数模混合CML/CMOS电路,是理解SerDes去别于并行接口的关键,也是本文要讨论的内容。

  发送方向(Tx)信号的流向: FPGA软逻辑(fabric)送过来的并行信号,通过接口FIFO(Interface FIFO), 送给8B/10B编码器(8B/10B encoder)或扰码器(scambler),以避免数据含有过长连零或者连1。之后送给串行器(Serializer)进行 并-》串 转换。串行数据经过均衡器(equalizer)调理,有驱动器(driver)发送出去。

  接收方向(Rx)信号的流向, 外部串行信号由线性均衡器(Linear Equalizer)或DFE (Decision Feedback Equalizer)结构均衡器调理,去除一部分确定性抖动(Deterministic jitter)。CDR从数据中恢复出采样时钟,经解串器变为对齐的并行信号。8B/10B解码器(8B/10B decoder)或解扰器(de-scambler)完成解码或者解扰。如果是异步时钟系统(plesio-synchronous system),在用户FIFO之前还应该有弹性FIFO来补偿频差。

  PLL负责产生SerDes各个模块所需要的时钟信号,并管理这些时钟之间的相位关系。以图中线速率10Gbps为例,参考时钟频率250MHz。Serializer/Deserializer至少需要5GHz 0相位时钟和5GHz 90度相位时钟,1GHz(10bit并行)/1.25GHz(8bit并行)时钟等。

  一个SerDes通常还要具调试能力。例如伪随机码流产生和比对,各种环回测试,控制状态寄存器以及访问接口,LOS检测, 眼图测试等。

  2.1串行器解串器(Serializer/Deserializer)

  串行器Serializer把并行信号转化为串行信号。Deserializer把串行信号转化为并行信号。一般地,并行信号为8 /10bit或者16/20bit宽度,串行信号为1bit宽度(也可以分阶段串行化,如8bit-》4bit-》2bit-》equalizerà1bit以降低equalizer的工作频率)。采用扰码(scrambled)的协议如SDH/SONET, SMPTE SDI使用8/16bit的并行宽度,采用8B/10B编码的协议如PCIExpress,GbE使用10bits/20bits宽度。

  一个4:1的串行器如图xxx所示。8:1或16:1的串行器采用类似的实现。实现时,为了降低均衡器的工作频率,串行器会先把并行数据变为2bits,送给均衡器equalizer滤波,最后一步再作2:1串行化,本文后面部分都按1bit串行信号解释。

  理解SerDes <wbr>之一

  一个1:4的解串器如图2.3所示,8:1或16:1的解串器采用类似的实现。实现时,为了降低均衡器(DFE based Equalizer)的工作频率,DFE工作在DDR模式下,解串器的输入是2bit或者更宽,本文后面部分都按1bit串行信号解释。

  理解SerDes <wbr>之一

  Serializer/Deserializer的实现采用双沿(DDR)的工作方式,利用面积换速度的策略,降低了电路中高频率电路的比例,从而降低了电路的噪声。

  接收方向除了Deserializer之外,一般带有还有对齐功能逻辑(Aligner)。相对SerDes发送端,SerDes接收端起始工作的时刻是任意的,接收器正确接收的第一个 bit可能是发送并行数据的任意bit位置。因此需要对齐逻辑来判断从什么bit位置开始,以组成正确的并行数据。对齐逻辑通过在串行数据流中搜索特征码字(Alignment Code)来决定串并转换的起始位置。比如8B/10B编码的协议通常用K28.5(正码10’b1110000011,负码10’b0001111100)来作为对齐字。图2.4为一个对齐逻辑的演示。通过滑窗,逐bit比对,以找到对齐码(Align-Code)的位置,经过多次在相同的位置找到对齐码之后,状态机锁定位置并选择相应的位置输出对齐数据。

  理解SerDes <wbr>之一

  2.2发送端均衡器( Tx Equalizer)

  SerDes信号从发送芯片到达接收芯片所经过的路径称为信道(channel),包括芯片封装,pcb走线,过孔,电缆,连接器等元件。从频域看,信道可以简化为一个低通滤波器(LPF)模型,如果SerDes的速率大于信道(channel)的截止频率,就会一定程度上损伤(distort)信号。均衡器的作用就是补偿信道对信号的损伤。

  发送端的均衡器采用FFE(Feed forward equalizers)结构,发送端的equalizer也称作加重器(emphasis)。加重(Emphasis)分为去加重(de-emphasis)和预加重(pre-emphasis)。De-emphasis降低差分信号的摆幅(swing)。Pre-emphasis增加差分信号的摆幅。FPGA大部分使用de-emphasis的方式,加重越强,信号的平均幅度会越小。

  发送侧均衡器设计为一个高通滤波器(HPF),大致为信道频响H(f)的反函数H-1(f),FFE的目标是让到达接收端的信号为一个干净的信号。FFE的实现方式有很多,一个典型的例子如图2.5所示。

  理解SerDes <wbr>之一

  调节滤波器的系数可以改变滤波器的频响,以补偿不同的信道特性,一般可以动态配置。以10Gbps线速率为例,图2.5为DFE频率响应演示。可以看到,对于C0=0,C1=1.0,C2=-0.25的配置,5GHz处高频增益比低频区域高出4dB,从而补偿信道对高频频谱的衰减。

  理解SerDes <wbr>之一

  采样时钟的频率限制了这种FFE最高只能补偿到Fs/2(例子中Fs/2=5GHz)。根据采样定理,串行数据里的信息都包含在5GHz以内,从这个角度看也就足够了。如果要补偿Fs/2以上的频率,就要求FFE高于Fs的工作时钟,或者连续时间域滤波器(Continuous Time FFE)。

  图2.7为DFE时域滤波效果的演示,以10Gbps线速率为例,一个UI=0.1 nS=100ps。演示的串行数据码流为二进制[00000000100001111011110000]。

  理解SerDes <wbr>之一

查看详情

serdes知识

展开查看更多

serdes技术

GMII、SGMII和SerDes的差异总结

GMII、SGMII和SerDes的差异总结

GMII、SGMII和SerDes的区别和联系? GMII和SGMII区别,上一篇已经介绍了,这一篇重点介绍SGMII和SerDes区别。 GMII和S...

2020-10-09 标签:MacSerDesPCI-e总线 3.0万 0

了解SERDES基础概念,快速进入高速系统设计

了解SERDES基础概念,快速进入高速系统设计

这里将介绍SERDES的基本概念,并介绍SERDES相关的专有名词:眼图(Eye-diagram)、眼图模板、抖动(Jitter)、容忍度(tolera...

2018-01-30 标签:fpga抖动功耗 2.3万 0

回顾接口技术发展历史

当然从总数据带宽的角度看,比如64bit DDR3-1600的最大数据带宽也超过了100Gbps(64*1.6Gbps)。也能提供不俗的总带宽表现。其实...

2018-08-15 标签:接口技术SerDes 1.3万 0

教你如何进行Xilinx SerDes调试

教你如何进行Xilinx SerDes调试

FPGA SERDES的应用需要考虑到板级硬件,SERDES参数和使用,应用协议等方面。由于这种复杂性,SERDES的调试工作对很多工程师来说是一个挑战。

2013-03-15 标签:XilinxSerDes 1.0万 0

常用的千兆网接口介绍

本篇文章主要是对前两种常用的千兆网接口的介绍,以直观的方式对比这两种接口在引脚方面的不同,比较适合帮助初学者建立一个初步的印象,能够快速的了解RGMII...

2022-10-08 标签:接口SerDes千兆网 9690 0

Maxim GMSL SerDes器件的预加重和均衡

Maxim GMSL SerDes器件的预加重和均衡

随着视频应用的快速发展,数据传输流量正以指数级迅猛增长,迫切需要更高的数据传输速率。因此,低成本双绞线(TP)也逐渐受到人们的特别关注。

2012-07-27 标签:MaximGMSLSerDes 8125 0

SERDES应用场景以及对应的复位设计

SERDES应用场景以及对应的复位设计

众所周知,现在主流的 FPGA 上实现的都是时序逻辑。时序逻辑有一个特点就是前面的状态会影响到后面的状态。所以在这种应用里,初始状态的确定是整个设计里非...

2018-06-30 标签:复位SERDES 7290 0

对Lattice ECP3/ECP5器件中的SerDes/PCS进行了简要的介绍

对Lattice ECP3/ECP5器件中的SerDes/PCS进行了简要的介绍

Lattice ECP3,ECP5(ECP5-5G)的SerDes/PCS结构基本相同,区别主要在于ECP5将两个SerDes/PCS通道合并到一个叫做...

2018-06-13 标签:SerDesLattice 7154 0

高性能SERDES在CPRI接口的应用分析

高性能SERDES在CPRI接口的应用分析

本文以TLK3132为例,详细介绍了SERDES工作原理和器件特点,并以WI系统中的CPRI应用需求为例,提供TLK3132的设计方法等。

2012-05-07 标签:接口SERDES 6321 0

参考时钟对SERDES性能的影响

参考时钟对SERDES性能的影响

我们知道,SERDES对参考时钟有严格的相位噪声性能要求。通常,SERDES供应商会根据其SERDES采用的PLL以及CDR架构特点,以及性能数据,提出...

2017-02-10 标签:XilinxSERDES参考时钟 5996 0

查看更多>>

serdes资讯

浅谈国内智能车载高清视频传输SERDES芯片现状

浅谈国内智能车载高清视频传输SERDES芯片现状

上海慷智 车规级,智能车载高清视频传输SERDES芯片聚焦车载SerDes芯片,

2022-09-07 标签:芯片SerDesAHDL 1.2万 1

超高速SerDes 在芯片设计中的挑战

超高速SerDes 在芯片设计中的挑战

SerDes已经成为需要快速数据移动和有限I/O的芯片的主要解决方案,但随着速度不断提高以抵消数据的大量增加,这项技术变得越来越具有挑战性。

2019-07-05 标签:芯片SerDes 7731 0

SERDES用到的各种关键技术讨论

SERDES用到的各种关键技术讨论

一、SERDES介绍 随着大数据的兴起以及信息技术的快速发展,数据传输对总线带宽的要求越来越高,并行传输技术的发展受到了时序同步困难、信号偏移严重,抗干...

2021-04-02 标签:fpgaSerDes大数据 5003 0

SERDES的工作原理及实现细节

ISERDESE2 在 SDR 模式下数据转换的位宽可以为 2、 3、 4、 5、 6、 7、 8bit,在 DDR 模式时,数据转换位宽为 4、 6、...

2022-09-05 标签:DDR源码SerDes 4898 0

高速112G SerDes技术的市场趋势与设计挑战

移动数据的迅速攀升,蓬勃发展的人工智能及机器学习(AI / ML)应用,和 5G 通信对带宽前所未有的需求对现有云数据中心的服务器、存储和网络架构形成了...

2022-07-14 标签:Cadence服务器SerDes 4545 0

SERDES的优势 SERDES演变的看法

SERDES的优势 引脚数量和通道优势 SERDES最明显的优势是具备更少的引脚数量和线缆/通道数量。对于早期的SERDES,这意味着数据可以通过同轴电...

2021-07-23 标签:SerDes 3850 0

浅谈HD-SDI传输中芯片技术的具体应用

本文介绍HD-SDI发送端和接收端:带SDI接口摄像机、CMOS图像传感器信号采集、高清编码卡、DVR等产品主要应用,以及SDI芯片市场未来展望。

2012-12-21 标签:serdesHD-SDIHDcctv 3494 0

通过SerDes远端I2C接口访问16位I2C外设寄存器地址

通过SerDes远端I2C接口访问16位I2C外设寄存器地址

Maxim吉比特多媒体串行链路(GMSL)串行器/解串器(SerDes)系列包括MAX9249、MAX9259、MAX9260、MAX9263和MAX9...

2012-03-02 标签:SerDesI2C接口外设寄存器 3476 0

Marvell发布基于DSP的112G SerDes解决方案

目前市面上有三款基于台积电5nm工艺(N5)的芯片,分别是华为Mate40Pro中的Kirin90005GSoC、苹果iPhone12系列智能机中的A1...

2020-11-18 标签:dspMarvellSerDes 3242 0

Mentor Graphics新版 HyperLynx 集信号和电源完整性、3D电磁解析和 快速规则检查于一个统一的环境中

  俄勒冈州威尔逊维尔,2016 年 4 月 5 日—Mentor Graphics公司(纳斯达克代码:MENT)今日宣布推出最新版 HyperLynx...

2016-04-05 标签:HyperLynxSERDES 2305 0

查看更多>>

serdes数据手册

相关标签

相关话题

换一批
  • 高云半导体
    高云半导体
    +关注
    广东高云半导体科技股份有限公司提供编程设计软件、IP核、参考设计、演示板等服务的完整FPGA芯片解决方案。
  • Zedboard
    Zedboard
    +关注
    ZedBoard是基于Xilinx Zynq™-7000扩展式处理平台(EPP)的低成本开发板。此板可以运行基于Linux,Android,Windows®或其他OS/ RTOS的设计。
  • I2S
    I2S
    +关注
    I2S总线, 又称 集成电路内置音频总线,是飞利浦公司为数字音频设备之间的音频数据传输而制定的一种总线标准,该总线专门用于音频设备之间的数据传输,广泛应用于各种多媒体系统。
  • SoC FPGA
    SoC FPGA
    +关注
  • 简单PLD
    简单PLD
    +关注
  • UltraScale
    UltraScale
    +关注
  • 逻辑芯片
    逻辑芯片
    +关注
    逻辑芯片又叫可编程逻辑器件,英文全称为:programmable logic device 即 PLD。PLD是做为一种通用集成电路产生的,他的逻辑功能按照用户对器件编程来确定。一般的PLD的集成度很高,足以满足设计一般的数字系统的需要。
  • 16nm
    16nm
    +关注
  • 三人表决器
    三人表决器
    +关注
  • Samtec
    Samtec
    +关注
    Samtec(申泰)公司是一家总部位于美国,致力于研发和生产高速数据通信连接器的供应商,Samtec连接器使用100%液晶聚合物以及纯磷青铜和铍铜制造,拥有军品级的技术参数,其产品包括各种通用标准的连接器以及通信线缆,并且为客户提供解决方案。
  • NCO
    NCO
    +关注
  • HLS
    HLS
    +关注
    HLS(HTTP Live Streaming)是Apple的动态码率自适应技术。主要用于PC和Apple终端的音视频服务。包括一个m3u(8)的索引文件,TS媒体分片文件和key加密串文件。
  • 显示模块
    显示模块
    +关注
  • 信息娱乐系统
    信息娱乐系统
    +关注
  • 京微雅格
    京微雅格
    +关注
      京微雅格(北京)科技有限公司致力于为系统制造商提供高集成度、高灵活性、高性价比的可编程逻辑器件、可重构微处理器及相关软件设计工具
  • 智能魔镜
    智能魔镜
    +关注
    随着物联网技术的发展,搭载这一技术的家电也越来越多的出现,今年十分火热的智能音箱就是物联网技术和人工智能结合的代表,智能魔镜这种基安防,终端,自动化,人工智能的物联网产品已经成为了不可阻挡的趋势,在未来,将更加全面、智能、便捷的走进越来越多人们的生活。
  • Cyclone V
    Cyclone V
    +关注
  • iCE40
    iCE40
    +关注
      为了满足市场需求,莱迪思发布了iCE40 Ultra™产品系列。据莱迪思总裁兼CEO Darin G. Billerbeck介绍,相比竞争对手的解决方案,iCE40 Ultra FPGA在提供5倍更多功能的同时减小了30%的尺寸。并且相比以前的器件,功耗降低高达75%。
  • 空中客车
    空中客车
    +关注
    空中客车公司(Airbus,又称空客、空中巴士),是欧洲一家飞机制造 、研发公司,1970年12月于法国成立。 空中客车公司的股份由欧洲宇航防务集团公司(EADS)100%持有。
  • 工业电机
    工业电机
    +关注
  • 谐振变换器
    谐振变换器
    +关注
    谐振变换器主要包括三种基本的类型:串联谐振变换器(SRC)、并联谐振变换器(PRC)和串并联谐振变换器(SPRC)。谐振变换器由开关网络Ns、谐振槽路NT、整流电路NR、低通滤波器NF等部分组成。
  • Digilent
    Digilent
    +关注
  • efpga
    efpga
    +关注
    eFPGA,全称为嵌入式FPGA(Embedded FPGA),顾名思义是将类似于FPGA的可编程逻辑阵列“嵌入”到ASIC或SoC中。
  • 国产FPGA
    国产FPGA
    +关注
  • 图像信号处理器
    图像信号处理器
    +关注
  • UltraScale架构
    UltraScale架构
    +关注
  • 时钟驱动器
    时钟驱动器
    +关注
  • 数字预失真
    数字预失真
    +关注
  • TMS320C6416
    TMS320C6416
    +关注
  • BB-Black
    BB-Black
    +关注

关注此标签的用户(6人)

路慢慢 helloman168168 饼干光 dragon0927 柯辰 小潜艇

编辑推荐厂商产品技术软件/工具OS/语言教程专题