0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

标签 > SerDes

SerDes简介

  SERDES是英文SERializer(串行器)/DESerializer(解串器)的简称。它是一种主流的时分多路复用(TDM)、点对点(P2P)的串行通信技术。即在发送端多路低速并行信号被转换成高速串行信号,经过传输媒体(光缆或铜线),最后在接收端高速串行信号重新转换成低速并行信号。这种点对点的串行通信技术充分利用传输媒体的信道容量,减少所需的传输信道和器件引脚数目,提升信号的传输速度,从而大大降低通信成本。

SerDes百科

  SERDES是英文SERializer(串行器)/DESerializer(解串器)的简称。它是一种主流的时分多路复用(TDM)、点对点(P2P)的串行通信技术。即在发送端多路低速并行信号被转换成高速串行信号,经过传输媒体(光缆或铜线),最后在接收端高速串行信号重新转换成低速并行信号。这种点对点的串行通信技术充分利用传输媒体的信道容量,减少所需的传输信道和器件引脚数目,提升信号的传输速度,从而大大降低通信成本。

  分类

  SerDes 结构大致可以分为四类:并行时钟SerDes:将并行宽总线串行化为多个差分信号对,传送与数据并联的时钟。这些SerDes比较便宜,在需要同时使用多个SerDes 的应用中,可以通过电缆或背板有效地扩展宽总线;8B/10B 编码SerDes:将每个数据字节映射到10bit代码,然后将其串行化为单一信号对。10位代码是这样定义的:为接收器时钟恢复提供足够的转换,并且保证直流平衡(即发送相等数量的‘1’和‘0’)。这些属性使8B/10BSerDes 能够在有损耗的互连和光纤传输中以较少的信号失真高速运行;嵌入式时钟SerDes:将数据总线和时钟串化为一个串行信号对。两个时钟位,一高一低,在每个时钟循环中内嵌串行数据流,对每个串行化字的开始和结束成帧,因此这类SerDes也可称为“开始-结束位SerDes”,并且在串行流中建立定期的上升边沿。由于有效负载夹在嵌入式时钟位之间,因此数据有效负载字宽度并不限定于字节的倍数;位交错SerDes:将多个输入串行流中的位汇聚为更快的串行信号对。SERDES技术最早应用于广域网(WAN)通信。国际上存在两种广域网标准:一种是SONET,主要通行于北美;另一种是SDH,主要通行于欧洲。这两种广域网标准制订了不同层次的传输速率。万兆(OC-192)广域网已在欧美开始实行,中国大陆已升级到2.5千兆(OC-48)水平。SERDES技术支持的广域网构成了国际互联网络的骨干网。SERDES 并串行与串并行转换器,串化器/并化器 A device that serializes output from, and deserializes input to, a business machine.一种(信号)转换设备,对商业计算机的输出(信号)进行并串行(串行化)转换,而对其输入(信号)进行串并行(解串)转换。SERializer/DESerializer的缩 写。系统的设计师们会采用串行器/解串器(SERDES)技术的高速串行接口来取代传统的并行总线架构。基于SERDES的设计增加了带宽,减少了信号数量,同时带来了诸如减少布线冲突、降低开关噪声、更低的功耗和封装成本等许多好处。而SERDES技术的主要缺点是需要非常精确、超低抖动的元件来提供用于控制高数据速率串行信号所需的参考时钟。即使严格控制元件布局,使用长度短的信号并遵循信号走线限制,这些接口的抖动余地仍然是非常小的。

  理解SerDes

  FPGA发展到今天,SerDes(Serializer-Deserializer)基本上是标配了。从PCI到PCI Express, 从ATA到SATA,从并行ADC接口到JESD204, 从RIO到Serial RIO,…等等,都是在借助SerDes来提高性能。SerDes是非常复杂的数模混合设计,用户手册的内容只是描述了森林里面的一棵小树,并不能够解释SerDes是怎么工作的。SerDes怎么可以没有传输时钟信号?什么是加重和均衡?抖动和误码是什么关系?各种抖动之间有什么关系?本篇小文试着从一个SerDes用户的角度来理解SerDes是怎么设计的, 由于水平有限,一定有不够准确的地方,希望对刚开始接触SerDes的工程师有所帮助。

  Contents

  1. SerDes的价值。。. 1

  1.1并行总线接口。。. 1

  1.2 SerDes接口。。. 3

  1.3 中间类型。。. 4

  2. SerDes结构(architecture) 4

  2.1串行器解串器(Serializer/Deserializer) 6

  2.2发送端均衡器( Tx Equalizer) 8

  2.3接收端均衡器( Rx Equalizer) 9

  2.4时钟数据恢复(CDR) 13

  2.5 公用锁相环(PLL) 16

  2.6 SerDes编解码。。. 18

  2.7 SerDes收发Driver及差分接口转换。。. 19

  2.8 SerDes环回和调试。。. 19

  3.抖动和信号集成( Jitter, SI ) 19

  3.1 时钟的抖动(clock jitter) 19

  3.2. 数据的抖动(data jitter) 20

  4.信号集成(SI)及仿真。。. 23

  4.1信道channel 23

  4.2 芯片封装Package. 24

  4.3 SI仿真。。. 24

  5. 结尾。。. 25

  6.参考资料 了解更多的内容,可以阅读以下内容。。。. 25

  1. SerDes的价值

  1.1并行总线接口

  在SerDes流行之前,芯片之间的互联通过系统同步或者源同步的并行接口传输数据,图1.1演示了系统和源同步并行接口。

  理解SerDes <wbr>之一

  随着接口频率的提高,在系统同步接口方式中,有几个因素限制了 有效数据窗口宽度 的继续增加。

  l 时钟到达两个芯片的传播延时不相等(clock skew)

  l 并行数据各个bit的传播延时不相等(data skew)

  l 时钟的传播延时和数据的传播延时不一致(skew between data and clock)

  虽然可以通过在目的芯片(chip #2)内用PLL补偿时钟延时差(clock skew),但是PVT变化时,时钟延时的变化量和数据延时的变化量是不一样的。这又进一步恶化了数据窗口。

  源同步接口方式中,发送侧Tx把时钟伴随数据一起发送出去, 限制了clock skew对有效数据窗口的危害。通常在发送侧芯片内部,源同步接口把时钟信号和数据信号作一样的处理,也就是让它和数据信号经过相同的路径,保持相同的延时。这样PVT变化时,时钟和数据会朝着同一个方向增大或者减小相同的量,对skew最有利。

  我们来做一些合理的典型假设,假设一个32bit数据的并行总线,

  a)发送端的数据skew = 50 ps ---很高的要求

  b)pcb走线引入的skew = 50ps ---很高的要求

  c)时钟的周期抖动jitter = +/-50 ps ---很高的要求

  d)接收端触发器采样窗口 = 250 ps ---Xilinx V7高端器件的IO触发器

  可以大致估计出并行接口的最高时钟 = 1/(50+50+100+250) = 2.2GHz (DDR)或者1.1GHz (SDR)。

  利用源同步接口,数据的有效窗口可以提高很多。通常频率都在1GHz以下。在实际应用中可以见到如SPI4.2接口的时钟可以高达DDR 700MHz x 16bits位宽。DDR Memory接口也算一种源同步接口,如DDR3在FPGA中可以做到大约800MHz的时钟。

  要提高接口的传输带宽有两种方式,一种是提高时钟频率,一种是加大数据位宽。那么是不是可以无限制的增加数据的位宽呢?这就要牵涉到另外一个非常重要的问题-----同步切换噪声(SSN)。

  这里不讨论SSN的原理,直接给出SSN的公式 SSN = L *N* di/dt。L是芯片封装电感,N是数据宽度,di/dt是电流变化的斜率。随着频率的提高,数据位款的增加,SSN成为提高传输带宽的主要瓶颈。图1.2是一个DDR3串扰的例子。图中低电平的理论值在0V,由于SSN的影响,低电平表现为震荡,震荡噪声的最大值达610mV,因此噪声余量只有1.5V/2-610mV=140mV。

  理解SerDes <wbr>之一

  Figure 1.2 DDR3串扰演示

  因此也不可能靠无限的提高数据位宽来继续增加带宽。一种解决SSN的办法是使用差分信号替代单端信号,使用差分信号可以很好的解决SSN问题,代价是使用更多的芯片引脚。使用差分信号仍然解决不了数据skew的问题,很大位宽的差分信号再加上严格的时序限制,给并行接口带来了很大的挑战。

  1.2 SerDes接口

  源同步接口的时钟频率已经遇到瓶颈,由于信道的非理想(channel)特性,再继续提高频率,信号会被严重损伤,就需要采用均衡和数据时钟相位检测等技术。这也就是SerDes所采用的技术。SerDes(Serializer-Deserializer)是串行器和解串器的简称。串行器(Serializer)也称为SerDes发送端(Tx),(Deserializer)也称为接收端Rx。Figure1.3是一个N对SerDes收发通道的互连演示,一般N小于4。

  理解SerDes <wbr>之一

  可以看到,SerDes不传送时钟信号,这也是SerDes最特别的地方,SerDes在接收端集成了CDR(Clock Data Recovery)电路,利用CDR从数据的边沿信息中抽取时钟,并找到最优的采样位置。

  SerDes采用差分方式传送数据。一般会有多个通道的数据放在一个group中以共享PLL资源,每个通道仍然是相互独立工作的。

  SerDes需要参考时钟(Reference Clock),一般也是差分的形式以降低噪声。接收端Rx和发送端Tx的参考时钟可以允许几百个ppm的频差(plesio-synchronous system),也可以是同频的时钟,但是对相位差没有要求。

  作个简单的比较,一个SerDes通道(channel)使用4个引脚(Tx+/-,Rx+/-), 目前的FPGA可以做到高达28Gbps。而一个16bits的DDR3-1600的线速率为1.6Gbps*16 = 25Gbps,却需要50个引脚。此对比可以看出SerDes在传输带宽上的优势。

  相比源同步接口,SerDes的主要特点包括:

  l SerDes在数据线中时钟内嵌,不需要传送时钟信号。

  l SerDes通过加重/均衡技术可以实现高速长距离传输,如背板。

  l SerDes 使用了较少的芯片引脚

  1.3 中间类型

  也存在一些介于SerDes和并行接口之间的接口类型,相对源同步接口而言,这些中间类型的接口也使用串行器(Serializer)解串器(Deserializer),同时也传送用于同步的时钟信号。这类接口如视频显示接口7:1 LVDS等。

  2. SerDes结构(architecture)

  SerDes的主要构成可以分为三部分,PLL模块,发送模块Tx,接收模块Rx。为了方便维护和测试,还会包括控制和状态寄存器,环回测试,PRBS测试等功能。见图2.1。

  理解SerDes <wbr>之一

  Figure 2.1 Basic Blocks of a typical SerDes

  图中蓝色背景子模块为PCS层,是标准的可综合CMOS数字逻辑,可以硬逻辑实现,也可以使用FPGA软逻辑实现,相对比较容易被理解。褐色背景的子模块是PMA层,是数模混合CML/CMOS电路,是理解SerDes去别于并行接口的关键,也是本文要讨论的内容。

  发送方向(Tx)信号的流向: FPGA软逻辑(fabric)送过来的并行信号,通过接口FIFO(Interface FIFO), 送给8B/10B编码器(8B/10B encoder)或扰码器(scambler),以避免数据含有过长连零或者连1。之后送给串行器(Serializer)进行 并-》串 转换。串行数据经过均衡器(equalizer)调理,有驱动器(driver)发送出去。

  接收方向(Rx)信号的流向, 外部串行信号由线性均衡器(Linear Equalizer)或DFE (Decision Feedback Equalizer)结构均衡器调理,去除一部分确定性抖动(Deterministic jitter)。CDR从数据中恢复出采样时钟,经解串器变为对齐的并行信号。8B/10B解码器(8B/10B decoder)或解扰器(de-scambler)完成解码或者解扰。如果是异步时钟系统(plesio-synchronous system),在用户FIFO之前还应该有弹性FIFO来补偿频差。

  PLL负责产生SerDes各个模块所需要的时钟信号,并管理这些时钟之间的相位关系。以图中线速率10Gbps为例,参考时钟频率250MHz。Serializer/Deserializer至少需要5GHz 0相位时钟和5GHz 90度相位时钟,1GHz(10bit并行)/1.25GHz(8bit并行)时钟等。

  一个SerDes通常还要具调试能力。例如伪随机码流产生和比对,各种环回测试,控制状态寄存器以及访问接口,LOS检测, 眼图测试等。

  2.1串行器解串器(Serializer/Deserializer)

  串行器Serializer把并行信号转化为串行信号。Deserializer把串行信号转化为并行信号。一般地,并行信号为8 /10bit或者16/20bit宽度,串行信号为1bit宽度(也可以分阶段串行化,如8bit-》4bit-》2bit-》equalizerà1bit以降低equalizer的工作频率)。采用扰码(scrambled)的协议如SDH/SONET, SMPTE SDI使用8/16bit的并行宽度,采用8B/10B编码的协议如PCIExpress,GbE使用10bits/20bits宽度。

  一个4:1的串行器如图xxx所示。8:1或16:1的串行器采用类似的实现。实现时,为了降低均衡器的工作频率,串行器会先把并行数据变为2bits,送给均衡器equalizer滤波,最后一步再作2:1串行化,本文后面部分都按1bit串行信号解释。

  理解SerDes <wbr>之一

  一个1:4的解串器如图2.3所示,8:1或16:1的解串器采用类似的实现。实现时,为了降低均衡器(DFE based Equalizer)的工作频率,DFE工作在DDR模式下,解串器的输入是2bit或者更宽,本文后面部分都按1bit串行信号解释。

  理解SerDes <wbr>之一

  Serializer/Deserializer的实现采用双沿(DDR)的工作方式,利用面积换速度的策略,降低了电路中高频率电路的比例,从而降低了电路的噪声。

  接收方向除了Deserializer之外,一般带有还有对齐功能逻辑(Aligner)。相对SerDes发送端,SerDes接收端起始工作的时刻是任意的,接收器正确接收的第一个 bit可能是发送并行数据的任意bit位置。因此需要对齐逻辑来判断从什么bit位置开始,以组成正确的并行数据。对齐逻辑通过在串行数据流中搜索特征码字(Alignment Code)来决定串并转换的起始位置。比如8B/10B编码的协议通常用K28.5(正码10’b1110000011,负码10’b0001111100)来作为对齐字。图2.4为一个对齐逻辑的演示。通过滑窗,逐bit比对,以找到对齐码(Align-Code)的位置,经过多次在相同的位置找到对齐码之后,状态机锁定位置并选择相应的位置输出对齐数据。

  理解SerDes <wbr>之一

  2.2发送端均衡器( Tx Equalizer)

  SerDes信号从发送芯片到达接收芯片所经过的路径称为信道(channel),包括芯片封装,pcb走线,过孔,电缆,连接器等元件。从频域看,信道可以简化为一个低通滤波器(LPF)模型,如果SerDes的速率大于信道(channel)的截止频率,就会一定程度上损伤(distort)信号。均衡器的作用就是补偿信道对信号的损伤。

  发送端的均衡器采用FFE(Feed forward equalizers)结构,发送端的equalizer也称作加重器(emphasis)。加重(Emphasis)分为去加重(de-emphasis)和预加重(pre-emphasis)。De-emphasis降低差分信号的摆幅(swing)。Pre-emphasis增加差分信号的摆幅。FPGA大部分使用de-emphasis的方式,加重越强,信号的平均幅度会越小。

  发送侧均衡器设计为一个高通滤波器(HPF),大致为信道频响H(f)的反函数H-1(f),FFE的目标是让到达接收端的信号为一个干净的信号。FFE的实现方式有很多,一个典型的例子如图2.5所示。

  理解SerDes <wbr>之一

  调节滤波器的系数可以改变滤波器的频响,以补偿不同的信道特性,一般可以动态配置。以10Gbps线速率为例,图2.5为DFE频率响应演示。可以看到,对于C0=0,C1=1.0,C2=-0.25的配置,5GHz处高频增益比低频区域高出4dB,从而补偿信道对高频频谱的衰减。

  理解SerDes <wbr>之一

  采样时钟的频率限制了这种FFE最高只能补偿到Fs/2(例子中Fs/2=5GHz)。根据采样定理,串行数据里的信息都包含在5GHz以内,从这个角度看也就足够了。如果要补偿Fs/2以上的频率,就要求FFE高于Fs的工作时钟,或者连续时间域滤波器(Continuous Time FFE)。

  图2.7为DFE时域滤波效果的演示,以10Gbps线速率为例,一个UI=0.1 nS=100ps。演示的串行数据码流为二进制[00000000100001111011110000]。

  理解SerDes <wbr>之一

查看详情

serdes知识

展开查看更多

serdes技术

HDMI、Type-C、SerDes​、LVDS等协议及传输接口芯片解析

数据在两个设备之间传输或者同设备内部连接传输音视频等高速信号数据时,需要有规范的传输协议。

2024-03-07 标签:HDMIlvdsSerDes 402 0

面向高速串行通信的工程设计实现

在高速串行通信系统中,差分阻抗的精确控制是实现信号完整性和降低电磁干扰的关键因素,对电子工程师来说,理想中的差分阻抗是100Ω,但由于实际布线原因,如接...

2024-02-22 标签:TDRPCB布线串行通信 136 0

汽车芯片市场集中度最高的领域:SerDes介绍

汽车芯片市场集中度最高的领域:SerDes介绍

SerDes即串行与解串行,汽车领域每一颗摄像头至少需要一片串行器,至少需要0.25片解串行。

2024-01-22 标签:连接器摄像头cdr 1128 0

千兆位多媒体串行链路SerDes IC推动汽车安全和信息娱乐系统发展

千兆位多媒体串行链路SerDes IC推动汽车安全和信息娱乐系统发展

近年来,车载应用种类繁多,处理的数据量也迅速增加。在处理视频数据的应用中,即使仅限于车载摄像头

2024-01-09 标签:VGA串行器SerDes 499 0

SERDES的作用 SerDes基础知识详解

SERDES的作用 SerDes基础知识详解

SERDES是英文SERializer(串行器)/DESerializer(解串器)的简称。

2024-01-04 标签:SDR解串器信号传输 558 0

车载SerDes技术概述、特点和应用详解

车载SerDes技术概述、特点和应用详解

SerDes(Serializer/Deserializer):一种高速串行数据传输技术,通过将多路低速并行信号转换成高速串行信号,并在传输过程中保持数...

2023-12-19 标签:传感器数据传输摄像头 1099 0

IBIS-AMI模型为SerDes信道仿真高效精准提供支持

IBIS-AMI模型为SerDes信道仿真高效精准提供支持

SerDes技术在高速通信中发挥着关键作用,通过将并行数据转为串行传输提高了数据传输速率。

2023-12-18 标签:数据传输时钟抖动信号完整性 323 0

高速接口SerDes基础知识总结

高速接口SerDes基础知识总结

SerDes是Serializer/Deserializer的缩写,即串行器和解串器,顾名思义是一种将并行数据转换成串行数据发送,将接收的串行数据转换成...

2023-12-13 标签:编码器高速接口OSI 578 0

高速 112G 设计和通道运行裕度

高速 112G 设计和通道运行裕度

高速 112G 设计和通道运行裕度

2023-12-05 标签:封装服务器数据中心 310 0

SerDes的技术原理 SerDes的重要概念和技术概述

SerDes的技术原理 SerDes的重要概念和技术概述

SerDes是SERializer(串行器)/DESerializer(解串器)的简称,是一种主流的时分多路复用(TDM)、点对点(P2P)的串行通信技术。

2023-11-14 标签:电磁干扰串行通信TDM 2816 0

查看更多>>

serdes资讯

Intel展示3nm的Serdes芯片:PAM 6、224Gb/s

Intel展示3nm的Serdes芯片:PAM 6、224Gb/s

今年的 ISSCC 会议在旧金山举行,来自英特尔、AMD、台积电,甚至人工智能初创公司的多场演讲都在谈论他们过去的所作所为。

2024-02-26 标签:英特尔二进制intel 284 0

重磅!汽车以太网发明人之一、OPEN Alliance首任主席Kirsten Matheus确认莅临谈思AES 2024!

重磅!汽车以太网发明人之一、OPEN Alliance首任主席Kirsten Matheus确认莅临谈思AES 2024!

AES 2024 4月11日-12日 ,由谈思实验室和谈思汽车联合主办的 「第五届中国国际汽车以太网峰会」 将在上海盛大开幕! 本届会议将汇集数百位主机...

2024-02-22 标签:以太网宝马SerDes 186 0

核芯互联发布5A大负载、低噪声低压差线性稳压器CLP7A84

核芯互联发布5A大负载、低噪声低压差线性稳压器CLP7A84

CLP7A84是一款高负载能力、低噪声的低压差线性稳压器,最大可提供5A输出电流,输出电压可在 0.5V至2.075V范围内以25mV的分辨率通过引脚进行编程

2024-01-22 标签:时钟抖动相位噪声SerDes 299 0

汽车 SerDes 赋能下一代车载 ADAS 摄像头的发展

汽车 SerDes 赋能下一代车载 ADAS 摄像头的发展

随着市场需求的增长,下一代先进驾驶辅助系统(ADAS)对摄像头和雷达系统分辨率的要求越来越高。这意味着传输数据的网络、交换机和连接器需要具有更高的速度和...

2024-01-02 标签:测试摄像头adas 204 0

针对SerDes的电感线圈怎么设计?ESD有什么特殊要求?

针对SerDes的电感线圈怎么设计?ESD有什么特殊要求? 设计SerDes的电感线圈和防静电保护(ESD)有着重要的意义。SerDes(串行器/解串器...

2023-11-07 标签:ESDSerDes 303 0

什么是SerDes呢?为什么我们需要此项技术呢?有哪些设计要求和技巧?

什么是SerDes呢?为什么我们需要此项技术呢?有哪些设计要求和技巧? SerDes(Serializer/Deserializer)是一种用于将串行数...

2023-11-07 标签:无线传输SerDes 529 0

SerDes技术优势明显,解决车内高速传输难题

电子发烧友网报道(文/李宁远)SerDes是SERializer串行器和DESerializer解串器的简称,串行器/解串器在发送端将多路低速并行信号被...

2023-10-12 标签:SerDes 1642 0

FPGA中实施PCI Express桥接解决方案

FPGA中实施PCI Express桥接解决方案

使用 FPGA 的优势之一是能够实施经过验证的知识产权,以快速、自信地完成桥接功能。看看一个常见但复杂的接口 PCI Express,就可以证明这些好处...

2023-05-11 标签:fpgaPCISerDes 737 0

越来越重要的SerDes

在过去的 20 年里,串行链路应用的数量呈爆炸式增长。本文试图解释为什么串行链路(以及支持它们的 SerDes)变得如此流行。它将尝试解释使串行链路无处...

2023-04-11 标签:神经网络机器学习SerDes 822 0

高速SerDes验证方案 以太网电接口的一致性测试解析

2022高速接口测试 在线论坛 如果说PCIe统治了PC内部的互联架构,那么以太网就是统治PC互联的另外一个重要传输和互联技术,以太网相继击败了ATM、...

2022-11-21 标签:以太网接口PC 1388 0

查看更多>>

serdes数据手册

相关标签

相关话题

换一批
  • 高云半导体
    高云半导体
    +关注
    广东高云半导体科技股份有限公司提供编程设计软件、IP核、参考设计、演示板等服务的完整FPGA芯片解决方案。
  • Zedboard
    Zedboard
    +关注
    ZedBoard是基于Xilinx Zynq™-7000扩展式处理平台(EPP)的低成本开发板。此板可以运行基于Linux,Android,Windows®或其他OS/ RTOS的设计。
  • I2S
    I2S
    +关注
    I2S总线, 又称 集成电路内置音频总线,是飞利浦公司为数字音频设备之间的音频数据传输而制定的一种总线标准,该总线专门用于音频设备之间的数据传输,广泛应用于各种多媒体系统。
  • SoC FPGA
    SoC FPGA
    +关注
  • 简单PLD
    简单PLD
    +关注
  • UltraScale
    UltraScale
    +关注
  • 逻辑芯片
    逻辑芯片
    +关注
    逻辑芯片又叫可编程逻辑器件,英文全称为:programmable logic device 即 PLD。PLD是做为一种通用集成电路产生的,他的逻辑功能按照用户对器件编程来确定。一般的PLD的集成度很高,足以满足设计一般的数字系统的需要。
  • 16nm
    16nm
    +关注
  • 三人表决器
    三人表决器
    +关注
  • Samtec
    Samtec
    +关注
    Samtec(申泰)公司是一家总部位于美国,致力于研发和生产高速数据通信连接器的供应商,Samtec连接器使用100%液晶聚合物以及纯磷青铜和铍铜制造,拥有军品级的技术参数,其产品包括各种通用标准的连接器以及通信线缆,并且为客户提供解决方案。
  • NCO
    NCO
    +关注
  • HLS
    HLS
    +关注
    HLS(HTTP Live Streaming)是Apple的动态码率自适应技术。主要用于PC和Apple终端的音视频服务。包括一个m3u(8)的索引文件,TS媒体分片文件和key加密串文件。
  • 显示模块
    显示模块
    +关注
  • 信息娱乐系统
    信息娱乐系统
    +关注
  • 京微雅格
    京微雅格
    +关注
      京微雅格(北京)科技有限公司致力于为系统制造商提供高集成度、高灵活性、高性价比的可编程逻辑器件、可重构微处理器及相关软件设计工具
  • 智能魔镜
    智能魔镜
    +关注
    随着物联网技术的发展,搭载这一技术的家电也越来越多的出现,今年十分火热的智能音箱就是物联网技术和人工智能结合的代表,智能魔镜这种基安防,终端,自动化,人工智能的物联网产品已经成为了不可阻挡的趋势,在未来,将更加全面、智能、便捷的走进越来越多人们的生活。
  • Cyclone V
    Cyclone V
    +关注
  • iCE40
    iCE40
    +关注
      为了满足市场需求,莱迪思发布了iCE40 Ultra™产品系列。据莱迪思总裁兼CEO Darin G. Billerbeck介绍,相比竞争对手的解决方案,iCE40 Ultra FPGA在提供5倍更多功能的同时减小了30%的尺寸。并且相比以前的器件,功耗降低高达75%。
  • 空中客车
    空中客车
    +关注
    空中客车公司(Airbus,又称空客、空中巴士),是欧洲一家飞机制造 、研发公司,1970年12月于法国成立。 空中客车公司的股份由欧洲宇航防务集团公司(EADS)100%持有。
  • 工业电机
    工业电机
    +关注
  • 谐振变换器
    谐振变换器
    +关注
    谐振变换器主要包括三种基本的类型:串联谐振变换器(SRC)、并联谐振变换器(PRC)和串并联谐振变换器(SPRC)。谐振变换器由开关网络Ns、谐振槽路NT、整流电路NR、低通滤波器NF等部分组成。
  • Digilent
    Digilent
    +关注
  • efpga
    efpga
    +关注
    eFPGA,全称为嵌入式FPGA(Embedded FPGA),顾名思义是将类似于FPGA的可编程逻辑阵列“嵌入”到ASIC或SoC中。
  • 国产FPGA
    国产FPGA
    +关注
  • 图像信号处理器
    图像信号处理器
    +关注
  • UltraScale架构
    UltraScale架构
    +关注
  • 时钟驱动器
    时钟驱动器
    +关注
  • 数字预失真
    数字预失真
    +关注
  • TMS320C6416
    TMS320C6416
    +关注
  • BB-Black
    BB-Black
    +关注

关注此标签的用户(6人)

路慢慢 helloman168168 饼干光 dragon0927 柯辰 小潜艇

编辑推荐厂商产品技术软件/工具OS/语言教程专题