0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

标签 > EDA工具

EDA工具

+关注4人关注

EDA工具软件可大致可分为芯片设计辅助软件、可编程芯片辅助设计软件、系统设计辅助软件等三类。进入我国并具有广泛影响的EDA软件是系统设计软件辅助类和可编程芯片辅助设计软件:Protel、PSPICE、multiSIM10(原EWB的最新版本)、OrCAD、PCAD、LSIIogic、MicroSim,ISE,modelsim等等。

文章:235 视频:2657 浏览:31246 帖子:9

eda工具技术

我们该如何应对SOC中越来越庞大和复杂的SDC约束?

我们该如何应对SOC中越来越庞大和复杂的SDC约束?

SOC设计变得越来越复杂,成本越来越高,设计和验证也越来越困难。

2024-03-13 标签:EDA工具SoC设计信号完整性 394 0

FPGA设计的IP和算法应用综述

FPGA设计的IP和算法应用综述

IP(Intelligent Property) 核是具有知识产权核的集成电路芯核总称,是经过反复验证过的、具有特定功能的宏模块,与芯片制造工艺无关,可...

2024-03-07 标签:FPGA设计寄存器EDA工具 611 0

eda工具软件有哪些 EDA工具有什么优势

EDA (Exploratory Data Analysis)是指通过可视化和统计方法来探索和分析数据的过程。它是数据分析的重要步骤,能够帮助我们了解数...

2024-01-30 标签:EDA工具软件数据处理 294 0

Verisium如何提高调试效率和验证吞吐量呢?

Verisium如何提高调试效率和验证吞吐量呢?

半导体对各行各业都有着潜移默化的影响,其重要性不言而喻。

2024-01-05 标签:半导体EDA工具soc 205 0

NCSIM、VCS和QuestaSim后仿真如何屏蔽冗余的违例信息呢?

在进行数字电路后仿真时,经常会遇到很多时序为例,通常这些违例都是由网表中大量的时序检查报出的。

2024-01-03 标签:EDA工具soc数字电路 539 0

数字前端生存指南—RTL

数字前端生存指南—RTL

在数字前端领域,RTL几乎与“设计代码”概念相同。

2023-12-04 标签:寄存器EDA工具RTL 2067 0

calibre query和yeild server在layout design上的应用

calibre query和yeild server在layout design上的应用

第一件事:不同版图EDA工具间数据的转换问题,除了基于OA的database的pcell 还是存在一些各家工具自己封闭的内容,这部分内容如何能够不同to...

2023-12-01 标签:EDA工具DRCSDL 255 0

Chiplet可以让SoC设计变得更容易吗?

理想情况下,chiplet可以像搭积木一样组合成现成的产品,无需使用EDA工具。

2023-11-09 标签:EDA工具SoC设计sip封装 197 0

数字IC前端设计+后端设计流程实现

数字IC前端设计+后端设计流程实现

RTL 设计** :芯片功能设计。硬件描述语言如 Verilog、VHDL、SystemVerilog。

2023-11-08 标签:缓冲器IC设计EDA工具 1324 0

数字实现过程中的惯性延迟和传输延迟

数字实现过程中的惯性延迟和传输延迟

一般用来指定模块内部信号通过逻辑单元或者线网耗费的时间。

2023-11-07 标签:EDA工具仿真器传输线 536 0

查看更多>>

eda工具资讯

上海立芯荣获“高新技术企业”证书

上海立芯荣获“高新技术企业”证书

上海立芯软件科技有限公司顺利通过认定,荣获由上海市科学技术委员会、上海市财政局、国家税务总局上海市税务局颁发的“高新技术企业”证书。

2024-03-28 标签:EDA工具数字芯片 106 0

新思科技如何助力RISC-V SoCs性能“超级加倍”?

近日,新思科技作为玄铁的重要生态合作伙伴,受邀参加了2024玄铁RISC-V生态大会。与众多合作伙伴共同探讨如何让RISC-V SoCs设计受益,为产品...

2024-03-28 标签:EDA工具新思科技半导体芯片 92 0

概伦电子推出全新半导体参数测试与全自动解决方案

一年一度的全球半导体行业盛会SEMICON China于3月20日在上海新国际博览中心拉开帷幕。

2024-03-20 标签:半导体晶圆EDA工具 167 0

Arm宣布推出全新汽车技术,可缩短多达两年的人工智能汽车开发周期

Arm 携手生态伙伴推出了最新的 Arm 汽车增强 (AE) 处理器和虚拟平台,让汽车行业在开发伊始便可应用,助力缩短多达两年的开发周期。

2024-03-14 标签:处理器ARMEDA工具 488 0

珠海南方集成电路设计服务中心引进芯华章全流程验证工具

为更好地推动EDA工具国产化,加快构建产业生态体系,3月13日,芯华章科技宣布与珠海南方集成电路设计服务中心(珠海ICC)达成战略合作,后者将引进芯华章...

2024-03-13 标签:集成电路EDA工具数字电路 129 0

国内首款自研的DFT EDA工具IMPERATA重磅发布

国内首款自研的DFT EDA工具IMPERATA重磅发布

IMPERATA是简矽自主研发的一款DFT EDA工具。它提供了一整套解决方案,用于在集成电路设计过程中实现测试和验证的自动化。

2024-02-20 标签:集成电路ROMEDA工具 512 0

20年的坚守,一家国产EDA企业稳健发展,创新不止

20年的坚守,一家国产EDA企业稳健发展,创新不止

电子发烧友网报道(文/黄晶晶)说到国产EDA行业,可能很多人会想到近几年国内EDA初创企业数量猛增,资本扎堆投资,行业热度高等现象。但其实国内也不乏长期...

2024-01-25 标签:EDA工具eda思尔芯 3493 0

智原推出14纳米ASIC整合设计服务迈向人工智能新时代

ASIC设计服务暨IP研发销售厂商智原科技(Faraday Technology Corporation,TWSE:3035)提供完整的FinFET 1...

2023-12-26 标签:存储器EDA工具ASIC设计 360 0

西门子EDA凌琳:完成对Insight EDA收购,夯实EDA工具链助推半导体创新

西门子EDA凌琳:完成对Insight EDA收购,夯实EDA工具链助推半导体创新

岁末年初之际,电子发烧友网策划的《2024年半导体产业展望》专题,收到三十多家国内半导体创新领袖企业高管的前瞻观点。此次,电子发烧友特别采访了西门子ED...

2023-12-25 标签:半导体西门子EDA工具 907 0

EDA+IP—攻克大规模数字电路设计挑战的“不二法门”

几十年来,芯片行业一直沿着摩尔定律的步伐前行,随着先进制程不断推进,单位面积上集成的晶体管数量越来越多,数字电路的处理能力也越来越强。

2023-12-15 标签:EDA工具数字电路数字芯片 358 0

查看更多>>

eda工具数据手册

相关标签

相关话题

换一批
  • Protues
    Protues
    +关注
    Proteus软件是英国Lab Center Electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。
  • 静电防护
    静电防护
    +关注
    为防止静电积累所引起的人身电击、火灾和爆炸、电子器件失效和损坏,以及对生产的不良影响而采取的防范措施。其防范原则主要是抑制静电的产生,加速静电泄漏,进行静电中和等。
  • Altium Designer
    Altium Designer
    +关注
  • FPGA芯片
    FPGA芯片
    +关注
    FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。
  • ArduBlock
    ArduBlock
    +关注
    ArduBlock软件是Arduino官方编程环境的第三方软件,目前必须依附于Arduino软件下运行,区别于Arduino文本式编程环境,ArduBlock是以图形化积木搭建的方式编程的,这样的方式会使编程的可视化和交互性加强,编程门槛降低,即使没有编程经验的人也可以尝试给Arduino控制器编写程序。
  • AD10
    AD10
    +关注
  • 识别
    识别
    +关注
  • FPGA开发板
    FPGA开发板
    +关注
    FPGA开发板在基于MCU、定制ASIC和体积庞大的电线束来实现引擎及控制电子的系统方案已发展至接近其技术和应用极限,汽车工业正面临新的设计挑战。过去汽车电子产品的开发周期是漫长的,而许多汽车制造商现正致力于在更短的时间内,装备消费者所需的新一代汽车。
  • PCB封装
    PCB封装
    +关注
    pcb封装就是把 实际的电子元器件,芯片等的各种参数(比如元器件的大小,长宽,直插,贴片,焊盘的大小,管脚的长宽,管脚的间距等)用图形方式表现出来,以便可以在画pcb图时进行调用。
  • QUARTUS II
    QUARTUS II
    +关注
    Quartus II 是Altera公司推出的综合性CPLD/FPGA开发软件,软件支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。
  • PCB封装库
    PCB封装库
    +关注
  • 语音交互
    语音交互
    +关注
  • AD09
    AD09
    +关注
  • PDN
    PDN
    +关注
  • QuickPcb
    QuickPcb
    +关注
  • Artix-7
    Artix-7
    +关注
      Artix-7 系列:相对于 Spartan-6 系列而言,Artix-7 系列功耗降低了一半, 成本降低了 35%,采用小型化封装、统一的 Virtex 系列架构,能满足低成本大批量市场的性能要求,这也正是此前 ASSP、ASIC 和低成本 FPGA 所针对的市场领域。
  • VHDL代码
    VHDL代码
    +关注
  • Protel 99 se
    Protel 99 se
    +关注
  • powerlink
    powerlink
    +关注
  • candence
    candence
    +关注
  • 面包板
    面包板
    +关注
    面包板是由于板子上有很多小插孔,专为电子电路的无焊接实验设计制造的。由于各种电子元器件可根据需要随意插入或拔出,免去了焊接,节省了电路的组装时间,而且元件可以重复使用,所以非常适合电子电路的组装、调试和训练。
  • 特性阻抗
    特性阻抗
    +关注
    特性阻抗又称特征阻抗,它不是直流电阻,属于长线传输中的概念。特性阻抗是射频传输线影响无线电波电压、电流的幅值和相位变化的固有特性,等于各处的电压与电流的比值,用V/I表示。在射频电路中,电阻、电容、电感都会阻碍交变电流的流动,合称阻抗。电阻是吸收电磁能量的,理想电容和电感不消耗电磁能量。
  • AXI
    AXI
    +关注
    AXI是一种总线协议,该协议是ARM公司提出的AMBA3.0协议中最重要的部分,是一种面向高性能、高带宽、低延迟的片内总线。它的地址/控制和数据相位是分离的,支持不对齐的数据传输,同时在突发传输中,只需要首地址,同时分离的读写数据通道、并支持Outstanding传输访问和乱序访问,并更加容易进行时序收敛。AXI是AMBA中一个新的高性能协议。
  • FPGA教程
    FPGA教程
    +关注
  • 驱动电流
    驱动电流
    +关注
  • Kintex-7
    Kintex-7
    +关注
      Kintex-7系列:Kintex-7 系列是一种新型 FPGA,能以不到 Virtex-6 系列一半的价格实现与其相当性能,性价比提高了一倍,功耗降低了一半。
  • 时钟源
    时钟源
    +关注
    时钟源用来为环形脉冲发生器提供频率稳定且电平匹配的方波时钟脉冲信号。它通常由石英 晶体振荡器和与非门组成的正反馈振荡电路组成,其输出送至环形脉冲发生器。
  • 红外触摸屏
    红外触摸屏
    +关注
  • Protel DXP
    Protel DXP
    +关注
  • AD采样
    AD采样
    +关注
      AD转换采样频率指完成一次从模拟转换到数字的AD转换所需时间的倒数,模拟量可以是电压、电流等电信号,也可以是压力、温度、湿度、位移、声音等非电信号;而AD分辨率指数字量变化一个最小量时模拟信号的变化量。

关注此标签的用户(4人)

jf_15970749 jf_00561657 袁德奎 今天老吴摸鱼了吗

编辑推荐厂商产品技术软件/工具OS/语言教程专题

电机控制 DSP 氮化镓 功率放大器 ChatGPT 自动驾驶 TI 瑞萨电子
BLDC PLC 碳化硅 二极管 OpenAI 元宇宙 安森美 ADI
无刷电机 FOC IGBT 逆变器 文心一言 5G 英飞凌 罗姆
直流电机 PID MOSFET 传感器 人工智能 物联网 NXP 赛灵思
步进电机 SPWM 充电桩 IPM 机器视觉 无人机 三菱电机 ST
伺服电机 SVPWM 光伏发电 UPS AR 智能电网 国民技术 Microchip
瑞萨 沁恒股份 全志 国民技术 瑞芯微 兆易创新 芯海科技 Altium
德州仪器 Vishay Micron Skyworks AMS TAIYOYUDEN 纳芯微 HARTING
adi Cypress Littelfuse Avago FTDI Cirrus LogIC Intersil Qualcomm
st Murata Panasonic Altera Bourns 矽力杰 Samtec 扬兴科技
microchip TDK Rohm Silicon Labs 圣邦微电子 安费诺工业 ixys Isocom Compo
安森美 DIODES Nidec Intel EPSON 乐鑫 Realtek ERNI电子
TE Connectivity Toshiba OMRON Sensirion Broadcom Semtech 旺宏 英飞凌
Nexperia Lattice KEMET 顺络电子 霍尼韦尔 pulse ISSI NXP
Xilinx 广濑电机 金升阳 君耀电子 聚洵 Liteon 新洁能 Maxim
MPS 亿光 Exar 菲尼克斯 CUI WIZnet Molex Yageo
Samsung 风华高科 WINBOND 长晶科技 晶导微电子 上海贝岭 KOA Echelon
Coilcraft LRC trinamic
放大器 运算放大器 差动放大器 电流感应放大器 比较器 仪表放大器 可变增益放大器 隔离放大器
时钟 时钟振荡器 时钟发生器 时钟缓冲器 定时器 寄存器 实时时钟 PWM 调制器
视频放大器 功率放大器 频率转换器 扬声器放大器 音频转换器 音频开关 音频接口 音频编解码器
模数转换器 数模转换器 数字电位器 触摸屏控制器 AFE ADC DAC 电源管理
线性稳压器 LDO 开关稳压器 DC/DC 降压转换器 电源模块 MOSFET IGBT
振荡器 谐振器 滤波器 电容器 电感器 电阻器 二极管 晶体管
变送器 传感器 解析器 编码器 陀螺仪 加速计 温度传感器 压力传感器
电机驱动器 步进驱动器 TWS BLDC 无刷直流驱动器 湿度传感器 光学传感器 图像传感器
数字隔离器 ESD 保护 收发器 桥接器 多路复用器 氮化镓 PFC 数字电源
开关电源 步进电机 无线充电 LabVIEW EMC PLC OLED 单片机
5G m2m DSP MCU ASIC CPU ROM DRAM
NB-IoT LoRa Zigbee NFC 蓝牙 RFID Wi-Fi SIGFOX
Type-C USB 以太网 仿真器 RISC RAM 寄存器 GPU
语音识别 万用表 CPLD 耦合 电路仿真 电容滤波 保护电路 看门狗
CAN CSI DSI DVI Ethernet HDMI I2C RS-485
SDI nas DMA HomeKit 阈值电压 UART 机器学习 TensorFlow
Arduino BeagleBone 树莓派 STM32 MSP430 EFM32 ARM mbed EDA
示波器 LPC imx8 PSoC Altium Designer Allegro Mentor Pads
OrCAD Cadence AutoCAD 华秋DFM Keil MATLAB MPLAB Quartus
C++ Java Python JavaScript node.js RISC-V verilog Tensorflow
Android iOS linux RTOS FreeRTOS LiteOS RT-THread uCOS
DuerOS Brillo Windows11 HarmonyOS
林超文PCB设计:PADS教程,PADS视频教程 郑振宇老师:Altium Designer教程,Altium Designer视频教程
张飞实战电子视频教程 朱有鹏老师:海思HI3518e教程,HI3518e视频教程
李增老师:信号完整性教程,高速电路仿真教程 华为鸿蒙系统教程,HarmonyOS视频教程
赛盛:EMC设计教程,EMC视频教程 杜洋老师:STM32教程,STM32视频教程
唐佐林:c语言基础教程,c语言基础视频教程 张飞:BUCK电源教程,BUCK电源视频教程
正点原子:FPGA教程,FPGA视频教程 韦东山老师:嵌入式教程,嵌入式视频教程
张先凤老师:C语言基础视频教程 许孝刚老师:Modbus通讯视频教程
王振涛老师:NB-IoT开发视频教程 Mill老师:FPGA教程,Zynq视频教程
C语言视频教程 RK3566芯片资料合集
朱有鹏老师:U-Boot源码分析视频教程 开源硬件专题