0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

标签 > cpu

cpu

cpu

+关注68人关注

中央处理器(CPU,Central Processing Unit)是一块超大规模的集成电路,是一台计算机的运算核心(Core)和控制核心( Control Unit)。它的功能主要是解释计算机指令以及处理计算机软件中的数据。

文章:8849 视频:300 浏览:206464 帖子:2348

cpu技术

FPGA为什么比GPU的延迟低这么多?

FPGA为什么比GPU的延迟低这么多?

FPGA 正是一种硬件可重构的体系结构。它的英文全称是Field Programmable Gate Array,中文名是现场可编程门阵列。 FP...

2024-04-08 标签:cpugpu图像处理 359 0

CPU的各种知识

CPU的各种知识

1、CPU的位和字长位:在数字电路和电脑技术中采用二进制,代码只有“0”和“1”,其中无论是“0”或是“1”在CPU中都是一“位”。字长:电脑技术中对C...

2024-04-19 标签:ICcpu数字电路 21 0

基于互联网的摄像测量系统(一)

基于互联网的摄像测量系统(一)

采用GPIO接口的D8M摄像头,直接与DE10-Nano开发板连接,可通过FPGA进行算法加速,CPU只需要读取FPGA端处理好的结果图像再进行简单的计...

2024-04-18 标签:cpuusb互联网 119 0

一、二、三代半导体的区别

一、二、三代半导体的区别

在5G和新能源汽车等新市场需求的驱动下,第三代半导体材料有望迎来加速发展。硅基半导体的性能已无法完全满足5G和新能源汽车的需求,碳化硅和氮化镓等第三代半...

2024-04-18 标签:半导体cpugpu 36 0

干货满满:ARM的内核寄存器讲解

干货满满:ARM的内核寄存器讲解

内核寄存器与外设寄存器: 内核寄存器与外设寄存器是完全不同的概念。内核寄存器是指 CPU 内部的寄存器,CPU处理所有指令数据需要用到这些寄存器保存处理数据。

2024-04-17 标签:ARM寄存器cpu 63 0

深度剖析中科海光CPU与DCU产品系列技术

深度剖析中科海光CPU与DCU产品系列技术

建立了处理器核心功能部件级、处理器核心级、处理器核心簇级、全片多核心簇级、多芯粒级和多芯片级完整的多层次处理器验证环境。

2024-04-17 标签:处理器cpu服务器 109 0

基于生物识别的门禁系统解决方案

基于生物识别的门禁系统解决方案

在生物识别访问控制系统发展过程中,物联网(IoT)和人工智能(AI)的融合为该技术的市场推广注入了新的活力。物联网设备可以与接入系统通信,实现远程控制和监控。

2024-04-17 标签:cpu门禁系统gpu 39 0

数据表示与编码的奥秘:为什么8位数据范围是-128到127?

数据表示与编码的奥秘:为什么8位数据范围是-128到127?

ALU的核心是加法器,这是个随参与计算的数值的二进制位数指数增长的数字电路。较早期的CPU里面绝大多数的逻辑门都被拿来做这个加法器了。

2024-04-17 标签:cpu逻辑门加法器 87 0

芯片制造的21个步骤

中央处理器(CPU)是一块超大规模的集成电路,是一台计算机的运算核心和控制核心。它的功能主要是解释计算机指令以及处理计算机软件中的数据,这也是迄今为数不...

2024-04-16 标签:处理器cpu芯片制造 75 0

一文详解超算中的InfiniBand网络、HDR与IB

一文详解超算中的InfiniBand网络、HDR与IB

InfiniBand技术被认为是面向未来的高性能计算(HPC)标准,在超级计算机、存储甚至LAN网络的HPC连接方面享有很高的声誉。

2024-04-16 标签:以太网cpu交换机 125 0

查看更多>>

cpu资讯

高性能计算中的芯片架构设计探索

高性能计算中的芯片架构设计探索

芯片行业非常清楚,对于许多计算密集型应用而言,单芯片解决方案已变得不现实。过去十年的最大问题是,向多芯片解决方案的转变何时才能成为主流。

2024-04-19 标签:芯片amdcpu 160 0

紫光展锐发布12nm制程UNISOC 7861智能支付平台解决方案,支持双载波频率

除了强大的 CPU 架构和 GPU 之外,该处理器还具备双频 Wi-Fi 5、蓝牙 5.0、GNSS 定位服务、USB Hub 等功能,支持 LPDDR...

2024-04-18 标签:处理器cpu紫光展锐 79 0

AI算力竞赛加速散热技术变革,液冷方案获行业青睐

AI算力竞赛加速散热技术变革,液冷方案获行业青睐

进入AIGC时代,智算中心规模日渐庞大,服务器能耗与日俱增。如何降低智算中心能耗已成为国家和地方政府关注的焦点。 工信部数据显示,2022年全国数据中心...

2024-04-18 标签:cpu服务器AI算力 104 0

高通解锁骁龙X Elite处理器更多信息:GeekBench多核跑分比苹果M3高28.4%

 在GeekBench 6.2版本的测试中,骁龙X Elite处理器的多核成绩达到了15610分,相较于苹果M3(12154分)提升了28.4%。这一结...

2024-04-17 标签:处理器cpumacbook 881 0

联想ThinkPad P16 Gen 2升级:搭载第14代英特尔处理器,暗示新品即将问世

据报道,联想公司近期对其ThinkPad P16 Gen 2笔记本进行升级,配备了英特尔第14代CPU,意味着该品牌今年将暂缓推出ThinkPad P1...

2024-04-17 标签:英特尔cpu联想 959 0

嵌入式主板,你了解多少?

嵌入式主板,也称为嵌入式计算机主板,是一种专门设计用于嵌入式系统的计算机主板。与台式机和笔记本电脑中使用的常规主板不同,嵌入式主板设计用于集成到更大的电...

2024-04-17 标签:连接器cpuRAM 107 0

英特尔借力智能工具提芯片热设计有效性

对此,研究人员需深入探究CPU核心、IO等各环节的复杂负载情况,以准确识别睿频过程中的芯片热点。其中,微型热传感器的最佳位置选择往往需要依靠丰富的实践经...

2024-04-17 标签:传感器英特尔cpu 188 0

EDA再掀热潮,中国积极投身其中成为重要参与者

CPU正在被异构计算所取代,我们看到云成为 CPU、GPU、AI 处理器、定制加速器、FPGA 等的混合体。其中许多新处理器是由初创公司开发的,这对于提...

2024-04-17 标签:cpu芯片设计eda 78 0

激光切割机适用的PLC控制类型探讨

按照控制规模,PLC可以分为微型机、小型机、中型机、大型机和巨型机。

2024-04-17 标签:控制器plc存储器 34 0

Rivos完成2.5亿美元A轮融资,用于研发AI工作负载 RISC-V计算加速

Rivos创立于2021年,总部设于美国加利福尼亚州,专注于设计和生产RISC-V芯片。尽管在A轮融资后未披露芯片详细架构,但据称其结合了高性能RISC...

2024-04-17 标签:cpu加速器RISC-V 74 0

查看更多>>

cpu数据手册

相关标签

相关话题

换一批
  • ESP8266
    ESP8266
    +关注
    wifi模块ESP8266的默认波特率是115200,但是单片机不能达到那么快的传输速率,只能使用4800bps,那么设置的时候,必不可少的要先用转串口调试下载器。
  • 呼吸灯
    呼吸灯
    +关注
    呼吸灯是指灯光在微电脑的控制之下完成由亮到暗的逐渐变化,感觉好像是人在呼吸。其广泛应用于手机之上,并成为各大品牌新款手机的卖点之一,起到一个通知提醒的作用。
  • 点位图
    点位图
    +关注
    点位图法是把一幅图像分成许许多多的像素,每个像素用若干个二进制位来指定该像素的颜色、亮度和属性。因此一幅图像由许许多多描述每个像素的数据组成,这些数据通常称为图像数据,而这些数据通常是作为一个文件来存储的,这种文件又称为图像文件。
  • BTS7960
    BTS7960
    +关注
  • 核心板
    核心板
    +关注
  • STM32F407
    STM32F407
    +关注
  • 电气原理图
    电气原理图
    +关注
    电气原理图是用来表明设备电气的工作原理及各电器元件的作用,相互之间的关系的一种表示方式。 运用电气原理图的方法和技巧,对于分析电气线路,排除电路故障、程序编写是十分有益的。电气原理图一般由主电路、控制电路、保护、配电电路等几部分组成。
  • 彩电图纸
    彩电图纸
    +关注
  • TX-1C
    TX-1C
    +关注
  • 工程师白皮书
    工程师白皮书
    +关注
  • epM240
    epM240
    +关注
  • MStar
    MStar
    +关注
  • 液晶彩电图纸
    液晶彩电图纸
    +关注
    要想看懂液晶彩电图纸,前提是电子常识,把电视机的分成几个部分,电源线进部分是电源部分接喇叭的是音频电路,有一个高压包的是行电路,连接显象管上面线圈的是场电路(其中有两条线属于行输出),高频头(插天线的铁盒子)是接收电路。
  • SIM900
    SIM900
    +关注
  • 电路图纸
    电路图纸
    +关注
  • SPARK
    SPARK
    +关注
    Apache Spark 是专为大规模数据处理而设计的快速通用的计算引擎。Spark是UC Berkeley AMP lab (加州大学伯克利分校的AMP实验室)所开源的类Hadoop MapReduce的通用并行框架,Spark,拥有Hadoop MapReduce所具有的优点;
  • 开关电路图
    开关电路图
    +关注
  • 红外对管
    红外对管
    +关注
  • MC33035
    MC33035
    +关注
  • 晶体管测试仪
    晶体管测试仪
    +关注
  • 台灯电路图
    台灯电路图
    +关注
  • 电池保护板
    电池保护板
    +关注
  • STM32F103VET6
    STM32F103VET6
    +关注
    STM32F103VET6是一个32位高密性能微控制器单元,有着三个12位模数转换器和4个通用16位计时器,外加两个PWM计时器,另外有着标准和先进的通讯接口,高达两个I²C,三个SPI和两个I²S,一个SDIO,五个USART,一个USB和一个CAN。
  • 照明灯电路图
    照明灯电路图
    +关注
  • 非隔离电源
    非隔离电源
    +关注
  • CSR8635
    CSR8635
    +关注
  • 彩电电路图
    彩电电路图
    +关注
  • 曙光
    曙光
    +关注
  • mega16
    mega16
    +关注
  • 超声波模块
    超声波模块
    +关注

关注此标签的用户(68人)

zhou19870201 jf_48926543 jf_07662157 junjun王 奕剑_2ae 运转吉祥 珍惜生活_867416550 jf_73340837 Cik_J 捷昇光电许生 silencewjd 世纪末detecti

编辑推荐厂商产品技术软件/工具OS/语言教程专题

电机控制 DSP 氮化镓 功率放大器 ChatGPT 自动驾驶 TI 瑞萨电子
BLDC PLC 碳化硅 二极管 OpenAI 元宇宙 安森美 ADI
无刷电机 FOC IGBT 逆变器 文心一言 5G 英飞凌 罗姆
直流电机 PID MOSFET 传感器 人工智能 物联网 NXP 赛灵思
步进电机 SPWM 充电桩 IPM 机器视觉 无人机 三菱电机 ST
伺服电机 SVPWM 光伏发电 UPS AR 智能电网 国民技术 Microchip
瑞萨 沁恒股份 全志 国民技术 瑞芯微 兆易创新 芯海科技 Altium
德州仪器 Vishay Micron Skyworks AMS TAIYOYUDEN 纳芯微 HARTING
adi Cypress Littelfuse Avago FTDI Cirrus LogIC Intersil Qualcomm
st Murata Panasonic Altera Bourns 矽力杰 Samtec 扬兴科技
microchip TDK Rohm Silicon Labs 圣邦微电子 安费诺工业 ixys Isocom Compo
安森美 DIODES Nidec Intel EPSON 乐鑫 Realtek ERNI电子
TE Connectivity Toshiba OMRON Sensirion Broadcom Semtech 旺宏 英飞凌
Nexperia Lattice KEMET 顺络电子 霍尼韦尔 pulse ISSI NXP
Xilinx 广濑电机 金升阳 君耀电子 聚洵 Liteon 新洁能 Maxim
MPS 亿光 Exar 菲尼克斯 CUI WIZnet Molex Yageo
Samsung 风华高科 WINBOND 长晶科技 晶导微电子 上海贝岭 KOA Echelon
Coilcraft LRC trinamic
放大器 运算放大器 差动放大器 电流感应放大器 比较器 仪表放大器 可变增益放大器 隔离放大器
时钟 时钟振荡器 时钟发生器 时钟缓冲器 定时器 寄存器 实时时钟 PWM 调制器
视频放大器 功率放大器 频率转换器 扬声器放大器 音频转换器 音频开关 音频接口 音频编解码器
模数转换器 数模转换器 数字电位器 触摸屏控制器 AFE ADC DAC 电源管理
线性稳压器 LDO 开关稳压器 DC/DC 降压转换器 电源模块 MOSFET IGBT
振荡器 谐振器 滤波器 电容器 电感器 电阻器 二极管 晶体管
变送器 传感器 解析器 编码器 陀螺仪 加速计 温度传感器 压力传感器
电机驱动器 步进驱动器 TWS BLDC 无刷直流驱动器 湿度传感器 光学传感器 图像传感器
数字隔离器 ESD 保护 收发器 桥接器 多路复用器 氮化镓 PFC 数字电源
开关电源 步进电机 无线充电 LabVIEW EMC PLC OLED 单片机
5G m2m DSP MCU ASIC CPU ROM DRAM
NB-IoT LoRa Zigbee NFC 蓝牙 RFID Wi-Fi SIGFOX
Type-C USB 以太网 仿真器 RISC RAM 寄存器 GPU
语音识别 万用表 CPLD 耦合 电路仿真 电容滤波 保护电路 看门狗
CAN CSI DSI DVI Ethernet HDMI I2C RS-485
SDI nas DMA HomeKit 阈值电压 UART 机器学习 TensorFlow
Arduino BeagleBone 树莓派 STM32 MSP430 EFM32 ARM mbed EDA
示波器 LPC imx8 PSoC Altium Designer Allegro Mentor Pads
OrCAD Cadence AutoCAD 华秋DFM Keil MATLAB MPLAB Quartus
C++ Java Python JavaScript node.js RISC-V verilog Tensorflow
Android iOS linux RTOS FreeRTOS LiteOS RT-THread uCOS
DuerOS Brillo Windows11 HarmonyOS
林超文PCB设计:PADS教程,PADS视频教程 郑振宇老师:Altium Designer教程,Altium Designer视频教程
张飞实战电子视频教程 朱有鹏老师:海思HI3518e教程,HI3518e视频教程
李增老师:信号完整性教程,高速电路仿真教程 华为鸿蒙系统教程,HarmonyOS视频教程
赛盛:EMC设计教程,EMC视频教程 杜洋老师:STM32教程,STM32视频教程
唐佐林:c语言基础教程,c语言基础视频教程 张飞:BUCK电源教程,BUCK电源视频教程
正点原子:FPGA教程,FPGA视频教程 韦东山老师:嵌入式教程,嵌入式视频教程
张先凤老师:C语言基础视频教程 许孝刚老师:Modbus通讯视频教程
王振涛老师:NB-IoT开发视频教程 Mill老师:FPGA教程,Zynq视频教程
C语言视频教程 RK3566芯片资料合集
朱有鹏老师:U-Boot源码分析视频教程 开源硬件专题