0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

标签 > VHDL语言

VHDL语言简介

  VHDL 的英文全名是VHSIC Hardware Description Language(VHSIC硬件描述语言)。VHSIC是Very High Speed Integrated Circuit的缩写,是20世纪80年代在美国国防部的资助下始创的,并最终导致了VHDL语言的出现。1987 年底,VHDL被 IEEE 和美国国防部确认为标准硬件描述语言。VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。

VHDL语言百科

  VHDL 的英文全名是VHSIC Hardware Description Language(VHSIC硬件描述语言)。VHSIC是Very High Speed Integrated Circuit的缩写,是20世纪80年代在美国国防部的资助下始创的,并最终导致了VHDL语言的出现。1987 年底,VHDL被 IEEE 和美国国防部确认为标准硬件描述语言。VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。

  特点

  VHDL 语言能够成为标准化的硬件描述语言并获得广泛应用,它自身必然具有很多其他硬件描述语言所不具备的优点。归纳起来,VHDL 语言主要具有以下优点:

  (1) VHDL 语言功能强大,设计方式多样

  VHDL 语言具有强大的语言结构,只需采用简单明确的VHDL语言程序就可以描述十分复杂的硬件电路。同时,它还具有多层次的电路设计描述功能。此外,VHDL 语言能够同时支持同步电路、异步电路和随机电路的设计实现,这是其他硬件描述语言所不能比拟的。VHDL 语言设计方法灵活多样,既支持自顶向下的设计方式,也支持自底向上的设计方法; 既支持模块化设计方法,也支持层次化设计方法。

  (2) VHDL 语言具有强大的硬件描述能力

  VHDL 语言具有多层次的电路设计描述功能,既可描述系统级电路,也可以描述门级电路;描述方式既可以采用行为描述、寄存器传输描述或者结构描述,也可以采用三者的混合描述方式。同时,VHDL 语言也支持惯性延迟和传输延迟,这样可以准确地建立硬件电路的模型。VHDL 语言的强大描述能力还体现在它具有丰富的数据类型。VHDL 语言既支持标准定义的数据类型,也支持用户定义的数据类型,这样便会给硬件描述带来较大的自由度。

  (3) VHDL 语言具有很强的移植能力

  VHDL 语言很强的移植能力主要体现在: 对于同一个硬件电路的 VHDL 语言描述,它可以从一个模拟器移植到另一个模拟器上、从一个综合器移植到另一个综合器上或者从一个工作平台移植到另一个工作平台上去执行。

  (4) VHDL 语言的设计描述与器件无关

  采用 VHDL 语言描述硬件电路时,设计人员并不需要首先考虑选择进行设计的器件。这样做的好处是可以使设计人员集中精力进行电路设计的优化,而不需要考虑其他的问题。当硬件电路的设计描述完成以后,VHDL 语言允许采用多种不同的器件结构来实现。

  (5) VHDL 语言程序易于共享和复用

  VHDL 语言采用基于库 ( library) 的设计方法。在设计过程中,设计人员可以建立各种可再次利用的模块,一个大规模的硬件电路的设计不可能从门级电路开始一步步地进行设计,而是一些模块的累加。这些模块可以预先设计或者使用以前设计中的存档模块,将这些模块存放在库中,就可以在以后的设计中进行复用。

  由于 VHDL 语言是一种描述、模拟、综合、优化和布线的标准硬件描述语言,因此它可以使设计成果在设计人员之间方便地进行交流和共享,从而减小硬件电路设计的工作量,缩短开发周期。

查看详情

vhdl语言知识

展开查看更多

vhdl语言技术

例说Verilog HDL和VHDL区别

例说Verilog HDL和VHDL区别

Verilog和VHDL之间的区别将在本文中通过示例进行详细说明。对优点和缺点的Verilog和VHDL进行了讨论。

2023-12-20 标签:NANDasicVHDL语言 640 0

如何使用SystemC做RTL和C/C++的联合仿真呢?

如何使用SystemC做RTL和C/C++的联合仿真呢?

当FPGA开发者需要做RTL和C/C++联合仿真的时候,一些常用的方法包括使用MicroBlaze软核,或者使用QEMU仿真ZYNQ的PS部分。

2023-12-13 标签:VHDL语言RTLC++语言 410 0

SaberRD调用外部C程序仿真步骤

SaberRD调用外部C程序仿真步骤

Saber不仅支持MAST语言和VHDL-AMS语言建立模型,也支持C语言建立器件模型,这对熟悉C语言编程的用户带来了很大的方便和实用。采用C语言建立的...

2023-12-06 标签:仿真器VHDL语言C语言 538 0

在SaberRD中导出FMU的步骤详解

在SaberRD中导出FMU的步骤详解

FMI联合仿真为联合仿真环境中仿真工具的耦合提供了接口标准。子系统之间的数据交换仅限于离散的通信点。

2023-12-06 标签:连接器仿真器VHDL语言 501 0

在SaberRD中进行FPGA的系统仿真

在SaberRD中进行FPGA的系统仿真

在自动化领域,FPGA(现场可编程门阵列)的作用越来越重要。这些设备构成控制单元的大脑,控制单元包含控制系统各种功能的逻辑。

2023-12-05 标签:fpga连接器RGB 590 0

如何在Saber中使用C语言进行建模呢?

如何在Saber中使用C语言进行建模呢?

Saber不仅支持MAST语言和VHDL‐AMS语言建立模型,也支持C语言建立器件模型,这对熟悉C语言编程的用户带来了很大的方便和实用。采用C语言建立的...

2023-12-05 标签:Linux系统VHDL语言C语言 558 0

请问Saber是如何将MOR电热模型转换为MAST模型的?

请问Saber是如何将MOR电热模型转换为MAST模型的?

系统级仿真是产品开发的重要组成部分,这种仿真包括与设备模型相结合的电路组件。

2023-12-05 标签:PCB板晶体管VHDL语言 496 0

SaberRD状态机建模工具介绍(一)什么是状态机建模

SaberRD状态机建模工具介绍(一)什么是状态机建模

状态机建模是使用状态图和方程式的手段,创建基于混合信号的有限状态机模型的一种建模工具。

2023-12-05 标签:VHDL语言状态机逻辑控制 517 0

RTL仿真中X态行为的传播—从xprop说起

RTL仿真中X态行为的传播—从xprop说起

在使用VCS进行仿真时,工程师们常常会面对一个极为重要且充满挑战的问题——X态传播行为。

2023-12-04 标签:仿真器VHDL语言RTL 542 0

数据流式编程在硬件设计中的应用

数据流式编程在硬件设计中的应用

数据流式编程(Dataflow Programming)是一种存在已久的程序设计范式,可以追溯到19世纪60年代,由MIT的Jack Dennis教授开创。

2023-10-31 标签:DSP技术labview接收机 412 0

查看更多>>

vhdl语言资讯

模型机控制信号产生逻辑VHDL

模型机控制信号产生逻辑VHDL 引言: 随着科技的发展,数字系统的设计越来越重要。在数字系统设计的过程中,模型机控制信号的产生逻辑是一个非常重要的方面。...

2023-09-19 标签:VHDL语言时序电路门电路 370 0

Timer测试方案 Timer测试平台实现 测试平台debug注意事项

Timer测试方案 Timer测试平台实现 测试平台debug注意事项

IC验证,一般也称“功能验证”,我们今天要讲的,不是这个,是它的简化版:模块测试,是设计工程师完成代码设计后,需要自己做的这部分验证工作。IC验证,我们...

2023-07-14 标签:寄存器IC设计VHDL语言 429 0

VHDL语言

一个完整的VHDL程序包括实体(Entity),结构体(Architecture),配置(Configuration),包集合(Package),库(L...

2022-11-09 标签:VHDL语言 3956 0

什么是vhdl语言_简述vhdl语言的特点

什么是vhdl语言 VHDL 的英文全名是VHSIC Hardware Description Language(VHSIC硬件描述语言)。VHSIC是...

2020-04-23 标签:VHDL语言 1.0万 0

vhdl语言的操作符_vhdl语言有什么用

vhdl语言的操作符_vhdl语言有什么用

VHDL是一种用来描述数字逻辑系统的“编程语言”。它通过对硬件行为的直接描述来实现对硬件的物理实现,代表了当今硬件设计的发展方向。VHDL是为了满足逻辑...

2020-04-23 标签:VHDL语言 2439 0

vhdl语言怎么仿真_vhdl语言的基本结构

在VHDL程序中,实体(ENTITY)和结构体(ARCHITECTURE)这两个基本结构是必须的,他们可以构成最简单的VHDL程序。通常,最简单的VHD...

2020-04-23 标签:VHDL语言 4294 0

vhdl语言和c语言区别大吗?差异性体现在哪儿

vhdl语言和c语言区别大吗?差异性体现在哪儿

相信对vhdl语言和c语言区别也有了一定的了解,并且它们两者之间的区别还是挺大的,下面我们详细细数一下它们的区别。

2017-11-09 标签:vhdl语言c语言 2.0万 0

简述BSDL边界扫描语言,BSDL边界扫描语言的应用

简述BSDL边界扫描语言,BSDL边界扫描语言的应用

BSDL边界扫描语言的边界扫描是一个完善的测试技术。 边界扫描在自当联合测试行动组(JTAG)90年代初发明了一种解决方案来测试使用了许多新的印刷电路,...

2017-04-19 标签:vhdl语言vhdlc++ 8000 0

通过实例,走近PLD

通过实例,走近PLD

电子发烧友网: PLD设计,相信对很多人而言都不陌生。当然也有对它不是那么了解的人,那么即使你没有深入接触过PLD,我们也可以让你可以在短短的几十分钟内...

2012-06-08 标签:VHDL语言FPGA芯片 2054 0

基于VHDL语言对高速A/D器件TLC5510控制的实现

--TLC5510 VHDL 控制程序 --文件名:TLC5510.vhd --功能:基于VHDL语言,实现对高速A/D器件TLC5510控制 --最后...

2012-05-22 标签:VHDL语言TLC5510芯片 1326 0

查看更多>>

vhdl语言数据手册

相关标签

相关话题

换一批
  • Protues
    Protues
    +关注
    Proteus软件是英国Lab Center Electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。
  • 静电防护
    静电防护
    +关注
    为防止静电积累所引起的人身电击、火灾和爆炸、电子器件失效和损坏,以及对生产的不良影响而采取的防范措施。其防范原则主要是抑制静电的产生,加速静电泄漏,进行静电中和等。
  • Altium Designer
    Altium Designer
    +关注
  • FPGA芯片
    FPGA芯片
    +关注
    FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。
  • ArduBlock
    ArduBlock
    +关注
    ArduBlock软件是Arduino官方编程环境的第三方软件,目前必须依附于Arduino软件下运行,区别于Arduino文本式编程环境,ArduBlock是以图形化积木搭建的方式编程的,这样的方式会使编程的可视化和交互性加强,编程门槛降低,即使没有编程经验的人也可以尝试给Arduino控制器编写程序。
  • AD10
    AD10
    +关注
  • 识别
    识别
    +关注
  • FPGA开发板
    FPGA开发板
    +关注
    FPGA开发板在基于MCU、定制ASIC和体积庞大的电线束来实现引擎及控制电子的系统方案已发展至接近其技术和应用极限,汽车工业正面临新的设计挑战。过去汽车电子产品的开发周期是漫长的,而许多汽车制造商现正致力于在更短的时间内,装备消费者所需的新一代汽车。
  • PCB封装
    PCB封装
    +关注
    pcb封装就是把 实际的电子元器件,芯片等的各种参数(比如元器件的大小,长宽,直插,贴片,焊盘的大小,管脚的长宽,管脚的间距等)用图形方式表现出来,以便可以在画pcb图时进行调用。
  • QUARTUS II
    QUARTUS II
    +关注
    Quartus II 是Altera公司推出的综合性CPLD/FPGA开发软件,软件支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。
  • PCB封装库
    PCB封装库
    +关注
  • 语音交互
    语音交互
    +关注
  • AD09
    AD09
    +关注
  • PDN
    PDN
    +关注
  • QuickPcb
    QuickPcb
    +关注
  • Artix-7
    Artix-7
    +关注
      Artix-7 系列:相对于 Spartan-6 系列而言,Artix-7 系列功耗降低了一半, 成本降低了 35%,采用小型化封装、统一的 Virtex 系列架构,能满足低成本大批量市场的性能要求,这也正是此前 ASSP、ASIC 和低成本 FPGA 所针对的市场领域。
  • VHDL代码
    VHDL代码
    +关注
  • Protel 99 se
    Protel 99 se
    +关注
  • powerlink
    powerlink
    +关注
  • candence
    candence
    +关注
  • 面包板
    面包板
    +关注
    面包板是由于板子上有很多小插孔,专为电子电路的无焊接实验设计制造的。由于各种电子元器件可根据需要随意插入或拔出,免去了焊接,节省了电路的组装时间,而且元件可以重复使用,所以非常适合电子电路的组装、调试和训练。
  • 特性阻抗
    特性阻抗
    +关注
    特性阻抗又称特征阻抗,它不是直流电阻,属于长线传输中的概念。特性阻抗是射频传输线影响无线电波电压、电流的幅值和相位变化的固有特性,等于各处的电压与电流的比值,用V/I表示。在射频电路中,电阻、电容、电感都会阻碍交变电流的流动,合称阻抗。电阻是吸收电磁能量的,理想电容和电感不消耗电磁能量。
  • AXI
    AXI
    +关注
    AXI是一种总线协议,该协议是ARM公司提出的AMBA3.0协议中最重要的部分,是一种面向高性能、高带宽、低延迟的片内总线。它的地址/控制和数据相位是分离的,支持不对齐的数据传输,同时在突发传输中,只需要首地址,同时分离的读写数据通道、并支持Outstanding传输访问和乱序访问,并更加容易进行时序收敛。AXI是AMBA中一个新的高性能协议。
  • FPGA教程
    FPGA教程
    +关注
  • 驱动电流
    驱动电流
    +关注
  • Kintex-7
    Kintex-7
    +关注
      Kintex-7系列:Kintex-7 系列是一种新型 FPGA,能以不到 Virtex-6 系列一半的价格实现与其相当性能,性价比提高了一倍,功耗降低了一半。
  • 时钟源
    时钟源
    +关注
    时钟源用来为环形脉冲发生器提供频率稳定且电平匹配的方波时钟脉冲信号。它通常由石英 晶体振荡器和与非门组成的正反馈振荡电路组成,其输出送至环形脉冲发生器。
  • 红外触摸屏
    红外触摸屏
    +关注
  • Protel DXP
    Protel DXP
    +关注
  • AD采样
    AD采样
    +关注
      AD转换采样频率指完成一次从模拟转换到数字的AD转换所需时间的倒数,模拟量可以是电压、电流等电信号,也可以是压力、温度、湿度、位移、声音等非电信号;而AD分辨率指数字量变化一个最小量时模拟信号的变化量。

关注此标签的用户(1人)

哭泣灬的刀

编辑推荐厂商产品技术软件/工具OS/语言教程专题