0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

标签 > IP核

IP核

+关注 0人关注

IP就是知识产权核或知识产权模块的意思,在EDA技术开发中具有十分重要的地位。美国著名的Dataquest咨询公司将半导体产业的IP定义为“用于ASIC或FPGA中的预先设计好的电路功能模块”。

文章: 234
视频: 74
浏览: 49036
帖子: 248

IP核简介

    IP就是知识产权核或知识产权模块的意思,在EDA技术开发中具有十分重要的地位。美国著名的Dataquest咨询公司将半导体产业的IP定义为“用于ASIC或FPGA中的预先设计好的电路功能模块”。IP主要分为软IP、固IP和硬IP。软IP是用Verilog/VHDL等硬件描述语言描述的功能块,但是并不涉及用什么具体电路元件实现这些功能。固IP是完成了综合的功能块。硬IP提供设计的最终阶段产品——掩膜。

IP核百科

IP就是知识产权核或知识产权模块的意思,在EDA技术开发中具有十分重要的地位。美国著名的Dataquest咨询公司将半导体产业的IP定义为“用于ASIC或FPGA中的预先设计好的电路功能模块”。IP主要分为软IP、固IP和硬IP。软IP是用Verilog/VHDL等硬件描述语言描述的功能块,但是并不涉及用什么具体电路元件实现这些功能。固IP是完成了综合的功能块。硬IP提供设计的最终阶段产品——掩膜。

基本分类

IP内核的三种类型

IP核有三种不同的存在形式:HDL语言形式,网表形式、版图形式。分别对应我们常说的三类IP内核:软核、固核和硬核。这种分类主要依据产品交付的方式,而这三种IP内核实现方法也各具特色。

软核

软核是用VHDL等硬件描述语言描述的功能块,但是并不涉及用什么具体电路元件实现这些功能。软IP通常是以硬件描述语言HDL源文件的形式出现,应用开发过程与普通的HDL设计也十分相似,只是所需的开发硬软件环境比较昂贵。软IP的设计周期短,设计投入少。由于不涉及物理实现,为后续设计留有很大的发挥空间,增大了IP的灵活性和适应性。其主要缺点是在一定程度上使后续工序无法适应整体设计,从而需要一定程度的软IP修正,在性能上也不可能获得全面的优化。由于软核是以源代码的形式提供,尽管源代码可以采用加密方法,但其知识产权保护问题不容忽视。

硬核

硬核提供设计阶段最终阶段产品:掩膜。以经过完全的布局布线的网表形式提供,这种硬核既具有可预见性,同时还可以针对特定工艺或购买商进行功耗和尺寸上的优化。尽管硬核由于缺乏灵活性而可移植性差,但由于无须提供寄存器转移级(RTL)文件,因而更易于实现IP保护。

固核

固核则是软核和硬核的折衷。大多数应用于FPGA的IP内核均为软核,软核有助于用户调节参数并增强可复用性。软核通常以加密形式提供,这样实际的 RTL对用户是不可见的,但布局和布线灵活。在这些加密的软核中,如果对内核进行了参数化,那么用户就可通过头文件或图形用户接口(GUI)方便地对参数进行操作。对于那些对时序要求严格的内核(如PCI接口内核),可预布线特定信号或分配特定的布线资源,以满足时序要求。这些内核可归类为固核,由于内核是预先设计的代码模块,因此这有可能影响包含该内核的整体设计。由于内核的建立(setup)、保持时间和握手信号都可能是固定的,因此其它电路的设计时都必须考虑与该内核进行正确地接口。如果内核具有固定布局或部分固定的布局,那么这还将影响其它电路的布局。

技术应用

数字到模拟转换器(DACs)将一个二进制数转换为与之对应的电压值,常用的D/A转换器都是由电阻或电容加权网络、受码元控制的开关和基准电压或电流源组成。当D/A转换器需要转换的信号每次取样字长很长时,对这些电路的精度要求很高,并且还必须在整个温度范围和整个使用寿命期间内保持电路参数的稳定。例如,一个16位的D/A转换器,其MSB的精度必须在1/2 16以内,这是很困难的。所以,需寻求一种中保持高分辨率又可降低对电路精度和稳定度要求的方法。

可综合的Delta-Sigma DAC(术语Delta-Sigma分别指算术差与和,即Δ-∑DAC),是Xilinx公司提供的免费IP核,可从网上下载得到。

选择和配置

选择MAX7000S系列可编程逻辑器件,编译后由MAX+PLUS II软件自动配置进EMP7032SLC44芯片,将生成的目标文件通过编程电缆对器件进行编程。

将该IP核实现的D/A转换器用于新型智能电阻炉温度控制仪中,因为调节炉温的信号不要求变化很快,因此DAC的输入二进制信号为缓变信号。对于这种低频应用,可以将RC时间常数取得较大,以减小噪声。这样,可综合的VHDL语言Delta-Sigma DAC模块配置进EMP7032芯片后,达到了预期的效果。

IP核的分类及特点

IP核的知识产权核心分为三大种类:硬核,中核和软核。硬件中心是知识产权构思的物质表现。这些利于即插即用应用软件并且比其它两种类型核的轻便性和灵活性要差。像硬核一样,中核(有时候也称为半硬核)可以携带许多配置数据,而且可以配置许多不同的应用软件。三者之中最有灵活性的就是软核了,它存在于任何一个网络列表(一列逻辑门位和互相连接而成的集成电路)或者硬件描述语言(HDL)代码中。

IP核的分类及特点

IP核的设计原理

软IP内核通常是用某种HDL文本提交用户,它已经过行为级设计优化和功能验证,但其中不含有任何具体的物理信息。据此,用户可以综合出正确的门电路级网表,并可以进行后续结构设计,具有最大的灵活性,可以很容易地借助于EDA综合工具与其他外部逻辑电路结合成一体,根据各种不同的半导体工艺,设计成具有不同性能的器件。可以商品化的软IP内核一般电路结构总门数都在5000门以上。但是,如果后续设计不当,有可能导致整个结果失败。软IP内核又称作虚拟器件。

硬IP内核是基于某种半导体工艺的物理设计,已有固定的拓扑布局和具体工艺,并已经过工艺验证,具有可保证的性能。其提供给用户的形式是电路物理结构掩模版图和全套工艺文件,是可以拿来就用的全套技术。

固IP内核的设计深度则是介于软IP内核和硬IP内核之间,除了完成硬IP内核所有的设计外,还完成了门电路级综合和时序仿真等设计环节。一般以门电路级网表形式提交用户使用。

IP核的总线介绍

为了使IP 核集成更快速、更方便,缩短进入市场的时间,迫切需要一种标准的互联方案,在这一背景下产生的片上总线OCB(on-chip bus)技术。目前,基于IP 核互连的总线结构较有影响力的有三种:IBM公司的Core Connect,ARM 公司的AMBA(Advanced MicrocontrollerBusArchitecture)和SilicoreCorp公司的Wishbone。

1.CoreConnect 总线IBM 公司的CoreConnect 总线提供了三种基本结构:处理器内部总线PLB(Processor Local Bus)、片上外围总线OPB(On-ChipPeripheral Bus)和设备控制总线DCR(Device Control Register)。

(1) PLB 标准是为总线传输的主要发出者和接受者之间提供高带宽、低延迟的连接。其主要特征为:  高性能处理器内部总线;

 交叠的读和写功能(最快每周期两次传输);  支持分段传输;  读和写分开;  32~64 位数据总线;  32 位地址空间;  支持16~64 字节突发传输模式;  4 级仲裁优先权;  特殊DMA(Direct Memory Access)模式。

(2) OPB 标准为连接具有不同的总线宽度及时序要求的外设和内存提供了一条途径,并尽量减小对PLB 性能的影响。其主要特性如下:  片上外围总线、支持多个主设备;  32 位地址空间;  读和写数据总线分开;  8~32 位数据总线;  动态总线宽度;  支持重试模式(如果主设备要求的从设备忙,主设备隔一段时间再次请求);  支持突发(burst)传输模式;  支持DMA。

(2) DCR 是用来规范CPU 通用寄存器设备,控制寄存器之间传输数据。DCR 总线在内存地址映射中取消了配置寄存器,减少取操作,增加处理器内部总线的带宽。其主要特征如下:  10 位地址总线;  32 位数据总线;  同步和异步的传输;  分布式结构。

CoreConnect 拥有完备的一整套技术文档,在技术上可行性较强,可以应用在类似于工作站这样的高性能系统的连接,对于简单的嵌入式应用来说可能有点太复杂,提供的许多特性无法用到。

2.AMBA 总线AMBA 总线体系结构定义了2 种总线:AHB(Advanced High-performanceSOC 设计初级培训(Bus)和APB(Advance Peripheral Bus),如图1-2 所示。图1-2 AMBA 总线体系结构(1) AHB 主要用于连接高性能、高吞吐率的设备,完成ARM 芯核与CPU外围部分例如存储通道控制器,DMA 控制器,SPI 接口等的整合。它的主要特性包括:  多控制器;  分段传输;  单周期总线控制权移交;  32~128 位总线宽;  包含一种访问保护机制,用来区别特权访问和无特权访问模式,或指令和数据提取等;  突发传输模式最大为16 节;  访问空间限制在32 位;

 支持仲裁、REQ、GNT 和LOCK;  支持字节、半字和字传输。

(2) APB 是专为降低功耗以及接口复杂性而设计的外围互联总线,它常被用于连接一些低带宽、低速传输的外设,主要特征如下:  低性能、低功率外围总线;  单控制器;  32 位地址空间;  32 位数据总线;  分开读和写数据总线。

3.Wishbone 总线Wishbone 总线是Silicore 公司推出的片上总线协议。它的结构极其简单、灵活,又完全公开、完全免费,获得众多支持。主要特征如下:  所有应用使用一个总线体系结构;  支持多控制器;  64 位地址空间;  8~64 位数据总线(可扩展);  单周期读和写;  支持重试;  支持内存映射,FIFO(FISRT IN FIRSTO U T )和十字互连接口;  由终端用户定义仲裁方式。同前2 种总线结构相比,Wishbone 只定义了一种高速总线,在既需要高速总线又需要低速总线的系统中,使用两个Wishbone 接口,比起设计两个不同的接口要简单些。

4.Avalon 总线这里,再介绍下将要用到的Avalon 总线。Avalon 总线发布于2000 年的秋天,是Altera 公司针对FPGAs 而设计的一种SOPC 接口标准。它提供了各设备之间连接的接口,可以用于片上处理器和外设之间的连接。Avalon 总线具有支持SOPC,结构简单和可参数化配置等特点。主要特征如下:  32 位地址空间,共计4Gbytes;  所有Avalon 总线信号都是和Avalon 总线时钟同步的,这种设计简化了Avalon 总线的时序行为,便于和高速外设的连接;  地址、数据和控制信号使用独立的端口,以简化外设的设计;  Avalon 总线自动为所有外设产生片选信号,简化了Avalon 总线外设的设计;  支持多主设备结构。多个主设备可以共存于Avalon 总线之中,Avalon 总线会自动产生仲裁逻辑;  基于向导的配置。用户可以使用图形化的向导来配置Avalon 总线的相关参数;  动态的总线宽度。Avalon 总线会自动处理不同位宽设备间的信SOC 设计初级培训号,使不同位宽的设备可以顺利通讯;

常用的IP核介绍

众多的IP 提供商包括纯IP 提供商、设计服务公司等都可以向设计者提供各种各样的IP 核。目前,比较着名的IP 提供商包括ARM、Rambus、Synopsys、TTPCom、ParthusCeva、

Virage Logic、Artisan、MIPS、Mentor 和Mosys 等公司。ARM 作为业内领先的32 位嵌入式RISC(Reduced Instruction Set Computer CPU)微处理器解决方案供应商,自1990 年正式成立以来,发展迅速。下面就以ARM 公司为例,进行介绍。ARM 的IP 核技术包括芯片内核、结构延伸、软件开发工具和片上系统解决方案,具有功耗低、性能高、成本低等特点。适用于多种领域,ARM 的用户遍及多个行业,包括:汽车、消费、娱乐、成像、工业、控制、网络、存储、安保和无线应用。ARM 公司的“chipless”模式非常独特,它从不直接介入芯片的生产和销售领域,而是一直以IP 提供者的身份向各大半导体制造商出售知识产权。这种独特的模式,使设计公司、OEM、软件及工具开发公司及制造公司都与ARM结成了合作伙伴关系,使其半导体行业产业链上游的上游,成为许多全球性RISC 标准的缔造者。目前,采用ARM 公司IP 核的半导体公司已多达103 家,包括Intel、TI、Motorola、ST、IBM、ADI、美国国家半导体、Infineon、NEC、LSI 等半导体巨擘,RTOS 软件厂商超过50 家如微软、WindRiver 等公司,EDA工具厂商超过30 家如Cadence、Synopsys 等。ARM 处理器核当前有6 个系列产品ARM7,ARM9,ARM9E,ARM10E,SecurCore 以及ARM11 系列。进一步还包括与其合作伙伴一同研发的产品,如Intel XScale 微体系结构和StrongARM 产品。其中每一类又根据其包含的不同功能模块而分成多种型号。在ARM 内核中常用的四个模块分别用T、D、M 和I 来表示,它们可供生产厂商根据不同用户的需求来选择配置。

(1) T 表示Thumb,该内核可从16 位指令集扩充到32 位ARM 指令集。

(2) D 表示Debug,该内核中包含可用于调试的结构,通常为一个边界扫描链JTAG,以便进入CPU 调试模式,从而进行断点设置、单步调试等工作。

(3) M 表示Multiplier,是8 位乘法器。

(4) I 表示Embedded ICE Logic,包含用于实现断点观测及变量观测的逻辑电路,其中的TAP 控制器可接入到边界扫描链。

1.ARM7

ARM7采用ARMV4T结构,分为三级流水,空间统一的指令与数据Cache,平均功耗为0.6mW/MHz,时钟速度为20MHz~133MHz,每条指令平均需要1.9 个时钟周期,处理速度为0.9MIPS/MHz。其中的ARM710,ARM720 和ARM740 为内带Cache 的ARM 核。ARM 7 的运算速度一般为150DMIPS。ARM7 是小型、快速、低能耗、集成式RISC 内核,广泛应用于手持式计算、数据通信和消费类多媒体。

2.ARM9

ARM9 采用ARMV4T 结构,采用五级流水处理以及分离的Cache 结构,平均功耗为0.7mW/MHz。时钟速度为100MHz~233MHz,每条指令平均需要1.5 个时钟周期,处理速度为1.1MIPS/MHz。其中,ARM920、ARM940 和ARM9E 为含Cache 的CPU 核。性能为132MIPS(120MHz 时钟,3.3V 供)或220MIPS(200MHz 时钟)。ARM 9 的运算速度一般为300DMIPS。ARM9 是低价、低能耗、高性能系统微处理器,配有Cache、内存管理和写缓冲。多应用于高级引擎管理、保安系统、顶置盒、便携计算机和高档打印机。

ARM9E 应该属于ARM10 系列,它也采用了ARMV5TE 结构,其性能得到了大大提高。其中,ARM968E-S(tm)是ARM9E 系列的最新产品,它同时也是ARM9E 系列内核中最小的、最低功耗的产品,可以为网络、汽车、电子消费娱乐品、无线方案等提供理想的技术方案。ARM968E-S 内核含有低延迟的AMBA(tm) AHB-lite 总线接口,内核与DMA 时钟分离, 在闲置状态下的功耗更低。ARM968E-S 内核比ARM966E-S(tm)内核的面积小20%,功耗至少降低10%。延长了电池使用寿命。

3.ARM10

ARM10 采用ARMV5TE 结构,采用六级流水处理,指令与数据分离的Cache 结构。时钟速度为300MHz,每条指令平均需要1.2 个周期。其中ARM1020 为带Cache 的版本。ARM 10 的运算速度一般为500DMIPS。ARM10 速度快,能够支持多种商用操作系统,适用于高性能手持式因特网设备及数字式消费类产品。

3.ARM11

ARM11 采用ARMV6 结构,采用8 级流水处理,动态分支预测与返回堆栈。ARM11 的时钟速度达到550MHz,采用了0.13 微米的工艺技术,支持IEM技术,可以大大减少功耗。ARM 11 的运算速度一般为1000DMIPS。ARM还发布了四个新的ARM11 系列微处理器内核(ARM1156T2-S 内核、ARM1156T2F-S 内核、ARM1176JZ-S 内核和ARM11JZF-S 内核)、应用ARM1176JZ-S 和ARM11JZF-S 内核系列的PrimeXsys 平台、相关的CoreSight技术。

ARM1156T2-S 和ARM1156T2F-S 是首批含有ARM Thumb-2 内核技术的产品,主要用于多种深嵌入式存储器、汽车网络和成像应用产品,提供了更高的CPU 性能和吞吐量,并增加了许多特殊功能,可解决新一代装置的设计难题。它们采用AMBA 3.0 AXI 总线标准,可满足高性能系统的大量数据存取需求。Thumb-2 内核技术结合了16 位、32 位指令集体系结构,提供更低的功耗、更高的性能、更短的编码,该技术提供的软件技术方案较现用的ARM 技术方案减少使用26%的存储空间、较现用的Thumb 技术方案增速25%。ARM1176JZ-S 和ARM1176JZF-S 内核是首批以ARM TrustZone 技术实现手持装置和费电子装置中公开操作系统的超强安全性的产品,同时也是首次对可节约高达75%处理器功耗的ARM 智能能量管理(ARM Intelligent Energy Manager)进行一体化支持。主要为服务供应商和运营商所提供的新一代消费电子装置的电子商务和安全的网络下载提供支持。

4.StrongARM

StrongARM 处理器采用ARMV4T 的五级流水结构,性能较高。它最初是与DEC 联合研制的,后来授权给Intel,Intel 公司用SA110 命名该通用嵌入式标准处理器。该系列还包括SA1100 PDA 系统芯片和SA1500 多媒体处理器芯片。ARM 芯片的选择要根据实际需求而定。例如,如果希望使用WinCE 或Linux 等操作系统以减少软件开发时间,就需要选择ARM720T 以上带有MMU(memory management unit)功能的ARM 芯片,ARM720T、StrongARM、ARM920T、ARM922T、ARM946T 都带有MMU 功能。而ARM7TDMI 没有MMU,不支持Windows CE 和大部分的Linux,但目前有uCLinux 等少数几种Linux 不需要MMU 的支持。

查看详情

ip核知识

展开查看更多

ip核技术

VIVADO软件使用问题总结

VIVADO软件使用问题总结

【关键问题!!!!重要!!!】VIVADO会在MESSAGE窗口出提示很多错误和警告信息!

2023-12-15 标签:软件IP核Vivado 796 0

AMD Versal系列CIPS IP核建立示例工程

AMD Versal系列CIPS IP核建立示例工程

接着上一篇“AMD Versal系列CIPS IP核介绍”文章来进一步讲解如何来建立CIPS IP核示例工程。

2023-12-05 标签:amd调试IP核 324 0

Distributed Memory Generator IP核简介

Distributed Memory Generator IP核简介

Distributed Memory Generator IP 核采用 LUT RAM 资源创建各种不同的存储器结构。IP可用来创建只读存储器 (ROM...

2023-11-17 标签:fpga存储器RAM 834 0

FPGA IP核开发流程概要

FPGA IP核开发流程概要

开发和验证 FPGA IP 不仅仅是编写 HDL,而是需要更多的思考。让我们来看看如何做吧!

2023-10-17 标签:fpga接口HDL 593 0

LogiCORE JTAG至AXI Master IP核简介

LogiCORE JTAG至AXI Master IP核简介

LogiCORE JTAG至AXI Master IP核是一个可定制的核,可生成AXIAXI总线可用于处理和驱动系统中FPGA内部的AXI信号。AXI总...

2023-10-16 标签:接口JTAGIP核 461 0

FPGA学习笔记:FIFO IP核的使用方法

FPGA学习笔记:FIFO IP核的使用方法

FIFO(First In First Out, 先入先出 ),是一种数据缓冲器,用来实现数据先入先出的读写方式。数据按顺序写入 FIFO,先被写入的数...

2023-09-07 标签:fpga存储器缓冲器 936 0

Vivado IP核Shared Logic选项配置

Vivado IP核Shared Logic选项配置

在给Vivado中的一些IP核进行配置的时候,发现有Shared Logic这一项,这里以Tri Mode Ethernet MAC IP核为例,如图1所示。

2023-09-06 标签:MacIP核Vivado 598 0

基于FPGA的UDP万兆光通信测试

基于FPGA的UDP万兆光通信测试

本文开源一个FPGA项目:UDP万兆光通信。该项目实现了万兆光纤以太网数据回环传输功能。Vivado工程代码结构和之前开源的《UDP RGMII千兆以太...

2023-09-01 标签:fpga以太网UDP 841 0

基于AXI总线的DDR3读写测试

基于AXI总线的DDR3读写测试

本文开源一个FPGA项目:基于AXI总线的DDR3读写。之前的一篇文章介绍了DDR3简单用户接口的读写方式:《DDR3读写测试》,如果在某些项目中,我们...

2023-09-01 标签:fpgaDDR3接口 2204 0

基于FPGA搭建Micro Blaze最小系统

基于FPGA搭建Micro Blaze最小系统

本文介绍一个FPGA 开源项目:Micro Blaze最小系统。MicroBlaze是Xilinx提供的一个软核IP,该软核是由FPGA片内逻辑资源组成...

2023-09-01 标签:fpgaXilinxMicroBlaze 905 0

查看更多>>

ip核资讯

测试与验证复杂的FPGA设计(2)——如何在虹科的IP核中执行面向全局的仿真

测试与验证复杂的FPGA设计(2)——如何在虹科的IP核中执行面向全局的仿真

仿真和验证是开发任何高质量的基于FPGA的RTL编码过程的基础。在上一篇文章中,我们介绍了面向实体/块的仿真,即通过在每个输入信号上生成激励并验证RTL...

2022-06-15 标签:仿真IP核 419 0

虹科方案 | 确定性HSR交换机IP核,基于硬件的专用解决方案

虹科方案 | 确定性HSR交换机IP核,基于硬件的专用解决方案

IP核(IntellectualPropertycore)是一段具有特定电路功能的硬件描述语言程序,常常被用于数字电路中。该程序与集成电路工艺无关,可以...

2022-03-31 标签:交换机IP核 281 0

虹科方案 | 虹科UES IP核——用最少的资源,解决最大的吞吐量!

虹科方案 | 虹科UES IP核——用最少的资源,解决最大的吞吐量!

IP核(IntellectualPropertycore)是一段具有特定电路功能的硬件描述语言程序,常常被用于数字电路中。该程序与集成电路的工艺无关,可...

2022-04-14 标签:IP核 505 0

锐成芯微获评“2022年度成都市新经济示范企业”称号

近日,成都市新经济发展委员会公示了《2022年度成都市新经济梯度培育企业名单》,锐成芯微获评新经济示范企业,并以领先的“核芯”能力和经济效应位列前30强。

2022-12-08 标签:集成电路IP核锐成芯微 911 0

深圳发布激励政策 突破CPU/GPU/FPGA等高端芯片可奖励1000万

    近日,深圳发布了《深圳市关于促进半导体与集成电路产业高质量发展的若干措施(征求意见稿)》,传递了怎样的中国芯片打法?   美国芯片法案连番限制之...

2022-10-12 标签:fpgacpugpu 1191 0

紫光展锐的IP核储备如何

芯片可以说是人类科技的巅峰之作,从一粒沙子变身为一枚芯片,背后凝聚了大量的智慧,整个产业链构成也极其复杂。

2022-09-08 标签:芯片IP核紫光展锐 1109 0

上海杭州多地积极促进集成电路、EDA等产业建设

上海杭州多地积极促进集成电路、EDA等产业建设

国家对于集成电路、EDA等产业的关怀一直不减,我们看多地都在积极促进产业发展,给出了很多政策,比如横琴粤澳深度合作区执行委员会于7月27日印发关于《横琴...

2022-07-28 标签:集成电路EDA工具eda 1406 0

IP仿真的实体或块级别是如何完成的

IP核的开发过程中,面临着许多关键技术,比如IP核的规格定义、基于接口的设计、IP核测试存取结构标准、IP核的验证与打包等。对于IP核的验证,主要是建立...

2022-06-13 标签:fpga仿真IP核 950 0

Xilinx FPGA平台DDR3设计保姆式教程(三)

Xilinx FPGA平台DDR3设计保姆式教程(三)

干货来了,用DDR搬砖,只需要会用IP就好,Xilinx官方YYDS!

2022-02-21 标签:DDR3IP核 7082 0

教你打包一个自己的Vivado IP核

教你打包一个自己的Vivado IP核

模块复用是逻辑设计人员必须掌握的一个基本功,通过将成熟模块打包成IP核,可实现重复利用,避免重复造轮子,大幅提高我们的开发效率。

2022-02-16 标签:IP核Vivado 1648 0

查看更多>>

ip核数据手册

相关标签

相关话题

换一批
  • IOT
    IOT
    +关注
    IoT是Internet of Things的缩写,字面翻译是“物体组成的因特网”,准确的翻译应该为“物联网”。物联网(Internet of Things)又称传感网,简要讲就是互联网从人向物的延伸。
  • 海思
    海思
    +关注
  • STM32F103C8T6
    STM32F103C8T6
    +关注
    STM32F103C8T6是一款集成电路,芯体尺寸为32位,程序存储器容量是64KB,需要电压2V~3.6V,工作温度为-40°C ~ 85°C。
  • 数字隔离
    数字隔离
    +关注
    数字隔离技术常用于工业网络环境的现场总线、军用电子系统和航空航天电子设备中,尤其是一些应用环境比较恶劣的场合。数字隔离电路主要用于数字信号和开关量信号的传输。另一个重要原因是保护器件(或人)免受高电压的危害。本文详细介绍了数字隔离器工作原理及特点,选型及应用,各类数字隔离器件性能比较等内容。
  • 硬件工程师
    硬件工程师
    +关注
    硬件工程师Hardware Engineer职位 要求熟悉计算机市场行情;制定计算机组装计划;能够选购组装需要的硬件设备,并能合理配置、安装计算机和外围设备;安装和配置计算机软件系统;保养硬件和外围设备;清晰描述出现的计算机软硬件故障。
  • wifi模块
    wifi模块
    +关注
    Wi-Fi模块又名串口Wi-Fi模块,属于物联网传输层,功能是将串口或TTL电平转为符合Wi-Fi无线网络通信标准的嵌入式模块,内置无线网络协议IEEE802.11b.g.n协议栈以及TCP/IP协议栈。传统的硬件设备嵌入Wi-Fi模块可以直接利用Wi-Fi联入互联网,是实现无线智能家居、M2M等物联网应用的重要组成部分。
  • 74ls74
    74ls74
    +关注
    74LS74是双D触发器。功能多,可作双稳态、寄存器、移位寄存器、振荡器、单稳态、分频计数器等功能。本章详细介绍了74ls112的功能及原理,74ls74引脚图及功能表,74ls112的应用等内容。
  • UHD
    UHD
    +关注
    UHD是”超高清“的意思UHD的应用在电视机技术上最为普遍,目前已有不少厂商推出了UHD超高清电视。
  • MPU6050
    MPU6050
    +关注
    MPU-6000(6050)为全球首例整合性6轴运动处理组件,相较于多组件方案,免除了组合陀螺仪与加速器时间轴之差的问题,减少了大量的封装空间。
  • Protues
    Protues
    +关注
    Proteus软件是英国Lab Center Electronics公司出版的EDA工具软件(该软件中国总代理为广州风标电子技术有限公司)。它不仅具有其它EDA工具软件的仿真功能,还能仿真单片机及外围器件。
  • STC12C5A60S2
    STC12C5A60S2
    +关注
    在众多的51系列单片机中,要算国内STC 公司的1T增强系列更具有竞争力,因他不但和8051指令、管脚完全兼容,而且其片内的具有大容量程序存储器且是FLASH工艺的,如STC12C5A60S2单片机内部就自带高达60K FLASHROM,这种工艺的存储器用户可以用电的方式瞬间擦除、改写。
  • 循迹小车
    循迹小车
    +关注
    做单片机的工程师相比都堆循迹小车有所认识,它是自动引导机器人系统的基本应用,那么今天小编就给大家介绍下自动自动循迹小车的原理,智能循迹小车的应用,智能循迹小车程序,循迹小车用途等知识吧!
  • K60
    K60
    +关注
  • 光立方
    光立方
    +关注
    光立方是由四千多棵光艺高科技“发光树”组成的,在2009年10月1日天安门广场举行的国庆联欢晚会上面世。这是新中国成立六十周年国庆晚会最具创意的三大法宝之首。
  • LM2596
    LM2596
    +关注
    LM2596是降压型电源管理单片集成电路的开关电压调节器,能够输出3A的驱动电流,同时具有很好的线性和负载调节特性。固定输出版本有3.3V、5V、12V,可调版本可以输出小于37V的各种电压。
  • 光模块
    光模块
    +关注
    光模块(optical module)由光电子器件、功能电路和光接口等组成,光电子器件包括发射和接收两部分。简单的说,光模块的作用就是光电转换,发送端把电信号转换成光信号,通过光纤传送后,接收端再把光信号转换成电信号。
  • 步进驱动器
    步进驱动器
    +关注
    步进驱动器是一种将电脉冲转化为角位移的执行机构。当步进驱动器接收到一个脉冲信号,它就驱动步进电机按设定的方向转动一个固定的角度(称为“步距角”),它的旋转是以固定的角度一步一步运行的。可以通过控制脉冲个数来控制角位移量,从而达到准确定位的目的;同时可以通过控制脉冲频率来控制电机转动的速度和加速度,从而达到调速和定位的目的。
  • STM32单片机
    STM32单片机
    +关注
    STM32系列基于专为要求高性能、低成本、低功耗的嵌入式应用专门设计的ARM Cortex-M3内核
  • Nexperia
    Nexperia
    +关注
    Nexperia是大批量生产基本半导体的领先专家,这些半导体是世界上每个电子设计都需要的组件。该公司广泛的产品组合包括二极管、双极晶体管、ESD 保护器件、MOSFET、GaN FET 以及模拟和逻辑IC。
  • CD4046
    CD4046
    +关注
    cD4046是通用的CMOS锁相环集成电路,其特点是电源电压范围宽(为3V-18V),输入阻抗高(约100MΩ),动态功耗小,在中心频率f0为10kHz下功耗仅为600μW,属微功耗器件。本章主要介绍内容有,CD4046的功能 cd4046锁相环电路,CD4046无线发射,cd4046运用,cd4046锁相环电路图。
  • COMSOL
    COMSOL
    +关注
    COMSOL集团是全球多物理场建模解决方案的提倡者与领导者。凭借创新的团队、协作的文化、前沿的技术、出色的产品,这家高科技工程软件公司正飞速发展,并有望成为行业领袖。其旗舰产品COMSOL Multiphysics 使工程师和科学家们可以通过模拟,赋予设计理念以生命。
  • 加速度传感器
    加速度传感器
    +关注
    加速度传感器是一种能够测量加速度的传感器。通常由质量块、阻尼器、弹性元件、敏感元件和适调电路等部分组成。
  • 联网技术
    联网技术
    +关注
  • 服务机器人
    服务机器人
    +关注
    服务机器人是机器人家族中的一个年轻成员,到目前为止尚没有一个严格的定义。不同国家对服务机器人的认识不同。
  • 四轴飞行器
    四轴飞行器
    +关注
    四轴飞行器,又称四旋翼飞行器、四旋翼直升机,简称四轴、四旋翼。这四轴飞行器(Quadrotor)是一种多旋翼飞行器。四轴飞行器的四个螺旋桨都是电机直连的简单机构,十字形的布局允许飞行器通过改变电机转速获得旋转机身的力,从而调整自身姿态。具体的技术细节在“基本运动原理”中讲述。
  • 基站测试
    基站测试
    +关注
    802.11ac与11基站测试(base station tests) 在基站设备安装完毕后,对基站设备电气性能所进行的测量。n的区别,802.11n无线网卡驱动,802.11n怎么安装。
  • TMS320F28335
    TMS320F28335
    +关注
    TMS320F28335是一款TI高性能TMS320C28x系列32位浮点DSP处理器
  • 静电防护
    静电防护
    +关注
    为防止静电积累所引起的人身电击、火灾和爆炸、电子器件失效和损坏,以及对生产的不良影响而采取的防范措施。其防范原则主要是抑制静电的产生,加速静电泄漏,进行静电中和等。
  • OBD
    OBD
    +关注
    OBD是英文On-Board Diagnostic的缩写,中文翻译为“车载诊断系统”。这个系统随时监控发动机的运行状况和尾气后处理系统的工作状态,一旦发现有可能引起排放超标的情况,会马上发出警示。
  • 频率转换器
    频率转换器
    +关注

关注此标签的用户(4人)

efans_a4da0d KillTheGood 和好吧vvffccvkoo peak宋

编辑推荐厂商产品技术软件/工具OS/语言教程专题