电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示
电子发烧友网>电子资料下载>仿真技术>ModelSim 10.1a版本经典教程资料免费下载

ModelSim 10.1a版本经典教程资料免费下载

2019-05-17 | rar | 0.74 MB | 次下载 | 免费

资料介绍

  假设

  对ModelSim?使用本教程基于以下假设:

  您熟悉如何使用操作系统及其窗口管理系统和图形界面:OpenWindows、OSF/Motif、CDE、KDE、GNOME或Microsoft Windows XP。

  您对编写设计和/或测试台的语言(如vhdl、verilog)有一定的了解。尽管modelsim是学习HDL概念和实践时使用的优秀应用程序,但本教程并不支持该目标。

  在你开始之前

  一些课程的准备工作留给你一些细节。您将决定在操作系统中创建目录、复制文件和执行程序的最佳方式。(当您在ModelSim的GUI中操作模拟器时,所有平台的界面都是一致的。)

  示例显示Windows路径分隔符-在尝试示例时使用适合您的操作系统的分隔符。

  实例设计

  ModelSim附带了这些课程中使用的设计的verilog和vhdl版本。这允许您执行教程,而不管您拥有哪种许可证类型。尽管我们已经尝试最小化verilog和vhdl版本之间的差异,但在所有情况下我们都不能这样做。如果设计不同(例如,行号或语法),您将找到特定于语言的说明。请遵循适用于您所使用语言的说明。

  介绍

  MODESIM是VHDL、Verilog、System Verilog和混合语言的验证和仿真工具

  设计。

  本课简要介绍了ModelSim仿真环境的概念概述。它是

  分为四个主题,您将在后续课程中了解更多。

  基本模拟流程?参见第3章,基本模拟。

  项目流程?参见第4章,项目。

  多个库流?请参阅第5章,使用多个库。

  调试工具?参考剩余课程。

  下图显示了在ModelSim中模拟设计的基本步骤。

  创建工作库

  在ModelSim中,所有设计都被编译到一个库中。您通常通过创建一个名为“工作”的工作库来启动ModelSim中的新模拟,该工作库是编译器用作已编译设计单元的默认目标的默认库名称。

  编译您的设计

  创建工作库之后,您可以将设计单元编译到其中。ModelSim库格式在所有支持的平台上都兼容。您可以在任何平台上模拟设计,而无需重新编译设计。

  使用您的设计加载模拟器并运行模拟

  在编译了设计之后,通过调用顶层模块(verilog)或配置或实体/体系结构对(vhdl)上的模拟器,可以将模拟器加载到设计中。

  假设设计负载成功,模拟时间设置为零,然后输入Run命令开始模拟。

  调试结果

  如果没有得到预期的结果,可以使用ModelSims健壮的调试环境来跟踪问题的原因。

  项目流程

  项目是用于在规范或测试下进行HDL设计的收集机制。即使您不必在ModelSim中使用项目,它们也可以简化与该工具的交互,对于组织文件和指定模拟设置非常有用。

  下图显示了在ModelSim项目中模拟设计的基本步骤。

下载该资料的人也在下载 下载该资料的人还在阅读
更多 >

评论

查看更多

下载排行

本周

  1. 1电子电路原理第七版PDF电子教材免费下载
  2. 0.00 MB  |  1491次下载  |  免费
  3. 2单片机典型实例介绍
  4. 18.19 MB  |  95次下载  |  1 积分
  5. 3S7-200PLC编程实例详细资料
  6. 1.17 MB  |  27次下载  |  1 积分
  7. 4笔记本电脑主板的元件识别和讲解说明
  8. 4.28 MB  |  18次下载  |  4 积分
  9. 5开关电源原理及各功能电路详解
  10. 0.38 MB  |  11次下载  |  免费
  11. 6100W短波放大电路图
  12. 0.05 MB  |  4次下载  |  3 积分
  13. 7基于单片机和 SG3525的程控开关电源设计
  14. 0.23 MB  |  4次下载  |  免费
  15. 8基于AT89C2051/4051单片机编程器的实验
  16. 0.11 MB  |  4次下载  |  免费

本月

  1. 1OrCAD10.5下载OrCAD10.5中文版软件
  2. 0.00 MB  |  234313次下载  |  免费
  3. 2PADS 9.0 2009最新版 -下载
  4. 0.00 MB  |  66304次下载  |  免费
  5. 3protel99下载protel99软件下载(中文版)
  6. 0.00 MB  |  51209次下载  |  免费
  7. 4LabView 8.0 专业版下载 (3CD完整版)
  8. 0.00 MB  |  51043次下载  |  免费
  9. 5555集成电路应用800例(新编版)
  10. 0.00 MB  |  33562次下载  |  免费
  11. 6接口电路图大全
  12. 未知  |  30320次下载  |  免费
  13. 7Multisim 10下载Multisim 10 中文版
  14. 0.00 MB  |  28588次下载  |  免费
  15. 8开关电源设计实例指南
  16. 未知  |  21539次下载  |  免费

总榜

  1. 1matlab软件下载入口
  2. 未知  |  935053次下载  |  免费
  3. 2protel99se软件下载(可英文版转中文版)
  4. 78.1 MB  |  537793次下载  |  免费
  5. 3MATLAB 7.1 下载 (含软件介绍)
  6. 未知  |  420026次下载  |  免费
  7. 4OrCAD10.5下载OrCAD10.5中文版软件
  8. 0.00 MB  |  234313次下载  |  免费
  9. 5Altium DXP2002下载入口
  10. 未知  |  233046次下载  |  免费
  11. 6电路仿真软件multisim 10.0免费下载
  12. 340992  |  191183次下载  |  免费
  13. 7十天学会AVR单片机与C语言视频教程 下载
  14. 158M  |  183277次下载  |  免费
  15. 8proe5.0野火版下载(中文版免费下载)
  16. 未知  |  138039次下载  |  免费