;
文章:新闻EDA技术电源技术无线通信测量仪表嵌入式类电子技术制造技术半导体网络协议展会实验家电维修 3G  
  下载:EDA教程电源技术电子书籍电子元件无线通信通信网络电路图纸嵌入式类单片机传感/控制电子教材模拟数字
.... 音视频类
消费电子机械电子行业软件C/C++FPGA/ASIC规则标准家电维修DSPIC资料ARM软件电路图电子技术论坛
 
您当前的位置:电子发烧友-> 电子资料下载 -> 模拟数字 -> 通用阵列逻辑GAL实现基本门电路的设计下载 退出登录 用户管理
栏目导航
EDA-教程 电源技术
单片机 DSP
FPGA/ASIC 通信网络
传感与控制 嵌入式开发
无线通信 电路图纸
电子教材 模拟数字
音视频类 IC资料
ARM C语言|源代码
电子书籍 消费类电子
IC datasheet pdf 机械电子
行业软件 常用软件
显示及光电 规则标准
家电维修 课件下载
安防技术 电子论文
试题试卷 机电一体化技术
存储器技术 接口技术
电子元器件应用 电磁兼容设计
绝缘资料 |化工资料 TD-SCDMA 资料
WCDMA 资料 CDMA2000 资料
3G技术资料 漆包线|润滑油资料
视频教程下载 品质管理资料
测试测量 工控技术
仿真技术
热门下载
· 掌握电烙铁焊接技术的方法...
· 三端稳压、基准源、低压差...
· 电子类缩略语全集
· 触摸屏技术的结构原理及应...
· 1-wire单总线的基本原理
· 一种在U-BOOT中嵌入千兆网...
· 具有双层散热能力的新型功...
· 丰田多用途厢式汽车防盗系...
· 高灵敏度光纤气体传感器的...
· 利用高级Cyclone III FPGA...
专题相关
软件名称 通用阵列逻辑GAL实现基本门电路的设计
运行环境 Win9X/Win2000/WinXP/Win2003/
整理时间 2009-6-28 0:12:19
软件星级
软件大小 333 KB csb23@126.com
下载统计
相关连接 官方主页  [点击收藏]
 技术资料介绍
    通用阵列逻辑GAL实现基本门电路的设计
    一、实验目的
    1.了解GAL22V10的结构及其应用;
    2.掌握GAL器件的设计原则和一般格式;
    3.学会使用VHDL语言进行可编程逻辑器件的逻辑设计;
    4.掌握通用阵列逻辑GAL的编程、下载、验证功能的全部过程。
    二、实验原理
    1. 通用阵列逻辑GAL22V10
    通用阵列逻辑GAL是由可编程的与阵列、固定(不可编程)的或阵列和输出逻辑宏单元(OLMC)三部分构成。GAL芯片必须借助GAL的开发软件和硬件,对其编程写入后,才能使GAL芯片具有预期的逻辑功能。GAL22V10有10个I/O口、12个输入口、10个寄存器单元,最高频率为超过100MHz。
    ispGAL22V10器件就是把流行的GAL22V10与ISP技术结合起来,在功能和结构上与GAL22V10完全相同,并沿用了GAL22V10器件的标准28脚PLCC封装。ispGAl22V10的传输时延低于7.5ns,系统速度高达100MHz以上,因而非常适用于高速图形处理和高速总线管理。由于它每个输出单元平均能够容纳12个乘积项,最多的单元可达16个乘积项,因而更为适用大型状态机、状态控制及数据处理、通讯工程、测量仪器等领域。ispGAL22V10的功能框图及引脚图分别见图1-1和1-2所示。
    另外,采用ispGAL22V10来实现诸如地址译码器之类的基本逻辑功能是非常容易的。为实现在系统编程,每片ispGAL22V10需要有四个在系统编程引脚,它们是串行数据输入(SDI),方式选择(MODE)、串行输出(SDO)和串行时钟(SCLK)。这四个ISP控制信号巧妙地利用28脚PLCC封装GAL22V10的四个空脚,从而使得两种器件的引脚相互兼容。在系统编程电源为+5V,无需外接编程高压。每片ispGAL22V10可以保证一万次在系统编程。
    ispGAL22V10的内部结构图如图1-3所示。
    2.编译、下载源文件
    用VHDL语言编写的源程序,是不能直接对芯片编程下载的,必须经过计算机软件对其进行编译,综合等最终形成PLD器件的熔断丝文件(通常叫做JEDEC文件,简称为JED文件)。通过相应的软件及编程电缆再将JED数据文件写入到GAL芯片,这样GAL芯片就具有用户所需要的逻辑功能。 
    3.工具软件ispLEVER简介
    ispLEVER 是Lattice 公司新推出的一套EDA软件。设计输入可采用原理图、硬件描述语言、混合输入三种方式。能对所设计的数字电子系统进行功能仿真和时序仿真。编译器是此软件的核心,能进行逻辑优化,将逻辑映射到器件中去,自动完成布局与布线并生成编程所需要的熔丝图文件。软件中的Constraints Editor工具允许经由一个图形用户接口选择I/O设置和引脚分配。软件包含Synolicity公司的“Synplify”综合工具和Lattice的ispVM器件编程工具,ispLEVER软件提供给开发者一个简单而有力的工具。
  点击进入通用阵列逻辑GAL实现基本门电路的设计下载页面
点击此处立即下载 - 通用阵列逻辑GAL实现基本门电路的设计
  相关技术资料下载   相关技术应用阅读
    没有相关文章
技术资料评论  (评论内容只代表网友观点,与本站立场无关!) 更多评论......
∷下载说明∷
为了达到最快的下载速度,推荐使用[网际快车]下载本站软件。
请一定升级到最新版[WinRAR3.5 ]才能正常解压本站提供的软件!
如果您发现该软件不能下载,请点击报告错误谢谢!
站内提供的所有软件包含破解及注册码均是由网上搜集,若侵犯了你的版权利益,敬请来信通知我们!

 
关于本站- 意见反馈 - 网站导航 - 帮助 - 隐私政策 - 联系我们 - 使用条款 - 安全承诺 - 友情连接 - 欢迎投稿
站长QQ:39550527 Powered by: 飓风网络(电路图
Copyright 2006-2008 Elecfans.Com.电子发烧友: 粤ICP备07065979号All Rights Reserved