0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>

可编程逻辑

提供权威的PLD及可编程逻辑器件设计应用、Altera公司、Xilinx公司资讯和解决方案,包括HDL语言与源代码、FPGA开发板、EDA工具、FPGA、FPGA软件等领域。

小梅哥和你一起深入学习FPGA之数码钟(下)

关键词:FPGA , 数码钟 图中存在较多的模块,因此在此将每个模块的功能做简单介绍: 另外,Clock_Control模块为综合模块,内部包含了时、分、秒、时钟计数器模块和时间设定模块,该模块的内...

2018-09-26 标签: 233

【从零开始走进FPGA】 基于PLD的矩阵键盘状态机控制

关键词:FPGA , PLD 讲过了独立按键检测,理所当然应该讲讲FPGA中矩阵键盘的应用了。这个思维和电路在FPGA中有所不同,在此,在此做详细解释,Bingo用自己设计的成熟的代码作为案例,希望对你...

2018-09-26 标签: 236

小梅哥和你一起深入学习FPGA之点亮LED灯(上)

关键词:FPGA , LED 在之前更新的目录里面,并没有安排这个实验,第一个实验应该是独立按键的检测与消抖。可是,当小梅哥来做按键消抖的实验时,才发现没有做基本的输出设备,因此按键检...

2018-09-26 标签: 1325

小梅哥和你一起深入学习FPGA之点亮LED灯(下)

关键词:FPGA , LED 七、 测试平台设计 本实验主要对LED的输出和输入与复位的关系进行测试仿真,通过仿真,即可验证设计的正确性和合理性。相关testbench的代码如下: 以下是代码片段: `time...

2018-09-26 标签: 270

Specific Timing Constraints

关键词:ISE , 时序约束 时钟上升沿和下降沿之间的时序约束 周期约束可以自动计算两个沿的的约束——包括调整非50%占空比的时钟。 例:一个CLK时钟周期约束为10ns,能够应用5ns的约束到两个...

2018-09-26 标签: 217

小梅哥和你一起深入学习FPGA之独立按键检测(上)

关键词:FPGA , 按键检测 几乎没有哪一个系统没有输入输出设备,大到显示器,小到led灯,轻触按键。作为一个系统,要想稳定的工作,输入输出设备的性能占了很重要的角色。本实验,小梅哥...

2018-09-26 标签: 470

零基础学FPGA(十七)新人必进,1602动态电子可调时钟设计,练一下代码风格吧

关键词:FPGA , 1602 之所以取这个标题名呢~感觉这个实验还是蛮重要的,当初我在学单片机的时候也是通过这个实验来巩固了一下自己的代码风格,当然这个实验涉及的东西还是挺多的,如果是...

2018-09-26 标签: 369

【从零开始走进FPGA】 LCD 1602 Hello World

关键词:FPGA , 1602 前面说过,在C,C++等语言学习中,“Hello World”将会是第一个学习的代码,但是在FPGA中由于电路驱动的复杂性,与单片机雷同,我们无法在电脑上实现“Hello World”的显示,而...

2018-09-26 标签: 462

梅哥和你一起深入学习FPGA之独立按键检测(下)

关键词:FPGA , 按键检测 八、 仿真分析 由上图仿真结果可知,当有按键按下时,需要较长一段时间后,Key_Flag会有一个高电平脉冲,同时Key_Value更新为输入按键的反码。 为了确定消抖是成功的...

2018-09-26 标签: 291

小梅哥和你一起深入学习FPGA之数码管动态扫描(上)

关键词:FPGA , ARM 在电子系统中,通常都需要有输出设备来输出或显示一定的信息,以指示当前系统运行的状态。在以单片机和ARM为主的电子系统中,液晶屏是理想的输出设备。而FPGA则因为其独...

2018-09-26 标签: 429

异构计算,你准备好了么?

摩尔定律失灵了,已是不争的事实。单纯的提升一种芯片性能变的代价越来越高,与此同时,异构计算成为提高计算力的主流方向。 什么是异构计算? 异构计算的前景怎么样? OpenPOWER系统上...

2018-09-25 标签: 358

关于机器学习中的FPGA与SoC应用浅析

这些新设备有两个主要市场。机器学习中的神经网络将数据分为两个主要阶段:训练和推理,并且在每个阶段中使用不同的芯片。虽然神经网络本身通常驻留在训练阶段的数据中心中,但它可...

2018-09-27 标签:FPGAsoc机器学习 1463

Verilog基本功之:流水线设计Pipeline Design

第一部分什么是流水线 第二部分什么时候用流水线设计 第三部分使用流水线的优缺点 第四部分流水线加法器举例 一. 什么是流水线 流水线设计就是将组合逻辑系统地分割,并在各个部分(分...

2018-09-25 标签: 4526

一文了解赛灵思新一代闪存存储解决方案

今年的闪存峰会 (FMS, Flash Memory Summit ) 重点关注的是非易失性存储器 Express (NVMe)、架构端非易失性存储器 (NVMe-oF)、永久存储器等前沿的存储器技术以及关键的开源软件主题。作为面向主机连接性...

2018-09-25 标签: 196

Harwin扩展广受市场欢迎的Gecko产品系列

关键词:螺丝连接器 , Gecko , Screw-Lok , 连接器 轻巧、节省空间、高可靠性的螺丝连接器现在增加了反向固定选项和电缆组件 Harwin继续推动进一步的技术创新,最近大幅度扩展了其屡获殊荣的G...

2018-09-25 标签: 376

凌华科技发布业界首款PXI Express视频/音频采集卡PXIe

关键词:凌华科技 , 音频采集卡 2012年12月12日 北京讯:整合运动控制与 机器视觉 的专家——凌华科技发布业界首款PXI Express视频/音频采集卡PXIe-HDV62A,该卡同时整合HDMI高清视频与数字音频信号...

2018-09-25 标签: 531

美高森美推出用于SmartFusion 2 SoC FPGA的基础原型构建平台的入门者工具套件

美高森美公司(Microsemi),宣布提供SmartFusion 2入门者工具套件,为设计人员提供用于其SmartFusion2系统级芯片(SoC)现场可编程门阵列(FPGA)的基础原型构建平台。...

2018-09-25 标签:FPGAsoc美高森美 1689

东芝将推出样品交付周期较短的新款结构化阵列

关键词:结构化阵列 , BaySand 有助于缩短开发时间,降低开发成本 东芝公司已经推出新款结构化阵列,能够以较短的交付周期开发并交付样品,只需定制少许金属掩膜设计层即可。 新阵列采用...

2018-09-25 标签: 163

Xilinx的Artix-7 FPGA AC701评估套件专门支持高性能系统

赛灵思公司(Xilinx)今天宣布Artix-7 FPGA AC701评估套件正式推出,专门支持开发满足低成本、低功耗应用需求的高性能系统。这款最新评估套件配套提供All Programmable Artix-7 200T器件,并包括设计人...

2018-09-26 标签:FPGAXilinx 2266

GlobalFoundries推出强化型55纳米CMOS逻辑制程

关键词:ARM , CMOS , GLOBALFOUNDRIES 2013-2-21 22:06:35 上传 下载附件 (73.33 KB) GLOBALFOUNDRIES推出强化型55纳米CMOS逻辑制程 采用ARM下一代存储器和逻辑IP,适合低电压应用 “55nm LPe 1V”平台专为实现超低功...

2018-09-25 标签: 296

Xilinx推出Zynq-7000系列最新成员,满足Smarter无线、广播及医疗系统要求

赛灵思公司(Xilinx, Inc. (NASDAQ:XLNX) )今天宣布推出Zynq-7000系列的最新成员-Zynq-7100 All Programmable SoC。该器件集成了业界性能最高的数字信号处理(DSP)功能,可满足新一代“智能(Smart)”...

2018-09-26 标签:ARMsocXilinx 3000

赛灵思Vivado设计套件推出2013.1版本,提供IP 集成器和高层次综合功能

关键词:Vivado , 设计套件 赛灵思公司(Xilinx)今天宣布, 其业界首款可编程SoC级增强型Vivado设计套件的最新版本在生产力方面进行了两大改进。Vivado设计套件2013.1版本新增了一款以IP为中心的...

2018-09-25 标签: 288

Altera推出Quartus II v13.0,支持实现世界上最快的FPGA设计

关键词:Quartus , FPGA , Stratix 与以前的版本相比,只需要一半的时间就能实现业界性能最好的设计 Altera公司今天宣布推出Quartus II软件13.0版,这一软件实现了性能最好的FPGA和SoC,提高了设计人员...

2018-09-25 标签: 590

based SmartFusion2 SoC FPGA设计的System Builder设计工具

关键词: System Builder , SmartFusion FPGA 带有System Builder设计工具的Libero SoC软件可以加快SmartFusion2的开发和缩短客户的上市时间 美高森美公司(Microsemi) 宣布SmartFusion 2 SoC FPGA用户现在可以获益于其新...

2018-09-25 标签: 524

Lattice的iCEstick FPGA评估套件是全球首款专为移动设备市场设计的FPGA

莱迪思半导体公司(Lattice)推出iCEstick评估套件,一款易于使用、带有USB接口、拇指大小的开发板,可以让工程师和系统架构师迅速评估和开发基于莱迪思 iCE40 mobileFPGA系列的移动设备解决方案...

2018-09-25 标签:FPGALattice 1986

FPGA至简设计法经典案例3【1241003385】

至简设计法经典案例3 案例3. 当收到en1=1时,dout产生3个时钟周期的高电平脉冲;当收到en2==1时,dout产生2个周期的高电平脉冲。 上面波形图显示了描述的功能。第3个时钟上升沿收到en1==1,所以...

2018-09-23 标签: 337

FPGA1位闪烁灯设计[1241003385]

1位闪烁灯设计 一、项目背景 LED(Light Emitting Diode),发光二极管,是一种能够将电能转化为可见光的固态的半导体器件,它可以直接把电转化为光。LED的心脏是一个半导体的晶片,晶片的一端...

2018-09-23 标签: 509

阿里云联合翱捷科技推出LoRa芯片,赛普拉斯PSoC4提供强大助力

普拉斯半导体宣布赛普拉斯PSoC® 4 MCU已整合到由翱捷科技设计的全新LoRa SiP(System In a Package,系统级封装)之中。...

2018-09-21 标签:赛普拉斯物联网阿里云LoRa 6290

FPGA学习系列:33. 设计一个简单的二选一数据选择器

设计背景: 频率计又称为 频率计数器 ,是一种专门对被测信号频率进行测量的 电子测量仪器 。频 率计主要由四个部分构成:时基(T)电路、输入电路、计数显示电路以及控制电路。 频率,即使...

2018-09-21 标签:频率计数器低电平高电平 10853

浅析FPGA和专用DSP的原理及应用

虽然定制实现DSP功能,但在很多应用中几种功能,如FIR(有限脉冲响应)滤波器,IIR(无限脉冲响应)滤波器、FFT(快速傅里叶)和混频器是共同的。所有这些功能都需要与加、减、累加一起的...

2018-09-21 标签:dspFPGA 3064

编辑推荐厂商产品技术软件/工具OS/语言教程专题