电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>PLD开发板>玩转赛灵思Zedboard开发板(3):基于Zynq PL的流水灯

玩转赛灵思Zedboard开发板(3):基于Zynq PL的流水灯

1234下一页全文

本文导航

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

玩转赛灵思Zedboard开发板(1):ZedBoard详解

电子发烧友网核心提示 :本文介绍了最新的Xilinx Zynq-7000 FPGA开发板 ZedboardZedboard是基于Xilinx Zynq-7000扩展式处理平台(EPP)的低成本开发板,也是行业首个面向开源社区的Zynq-7000扩展
2012-11-26 15:09:0155135

玩转赛灵思Zedboard开发板(4):如何使用自带外设IP让ARM PS访问FPGA?

本文的目的是使用XPS为ARM PS 处理系统添加额外的IP。从IP Catalog 标签添加GPIO,并与ZedBoard板子上的8个LED灯相连。当系统建立完后,产生bitstream,并对外设进行测试。本文给出流水灯实现
2012-12-16 14:48:219484

PYNQ案例(一):ZYNQPL与PS开发

。 Pynq降低了开发人员的门槛,但知其然也知其所以然,开发效率将会更高。因此,在进入PYNQ的python开发之前,我们先来学习ZYNQPL与PS开发,为接下来的学习提供良好的基础。 本部分的学习
2020-12-25 14:11:506843

玩转赛灵思Zedboard开发板(2):最简单的测试工程

电子发烧友网核心提示 : ZedBoard开发板 上的Zynq是一个ARM PS(processing system, 双核A9 + 存储管理 + 外设)+ PL(programable Logic) 结构,如果不使用PLzynq开发和普通的ARM 开发一样。不同
2012-11-26 15:49:4714399

玩转赛灵思Zedboard开发板(6):如何在Zedboard上运行linux下的应用程序?

电子发烧友网编辑现为读者整合《玩转赛灵思Zedboard开发板》系列文章, 其中包括在ZedBoard开发板上的一些应用实例。本文主要讲述Zedboard上的嵌入式linux应用,包括使用SDK设计最简单的linux应用程序、linux交叉编译环境搭建、设备驱动编写等内容...
2013-01-24 13:38:1816892

开发板LED流水灯的控制

文章目录开发板上LED灯相关的电路图点灯LED闪烁LED流水灯其他效果灯光二进制计数器进阶版流水灯开发板上LED灯相关的电路图这是P2相关7个引脚的电路图,在默认情况下它是直接接着VCC的,即默认
2021-12-02 08:06:13

玩转Zynq连载19——[ex02]基于Zynq PL的欢快流水灯

`玩转Zynq连载19——[ex02]基于Zynq PL的欢快流水灯 更多资料共享腾讯微云链接:https://share.weiyun.com/5s6bA0s百度网盘链接:https
2019-08-28 09:26:47

玩转Zynq连载31——[ex53] 基于Zynq PS的EMIO控制

zstar.bit文件和GPIO_EMIO_project.elf文件烧录到Zynq中运行起来。程序运行起来后,我们就可以看到ZstarPL侧的3个LED指示灯D3、D2和D1逐个闪烁起来。 腾讯微云链接
2019-10-12 17:35:16

玩转Zynq连载36——[ex55] 基于VIO在线板级调试的AXI GP总线读写实例

PL代码中VIO的配置和例化PL工程中,需要将作为开关量控制或状态显示的信号连接到VIO IP核的接口中。具体可以参考文档《玩转Zynq-工具篇:基于Vivado的Virtual IO在线板级调试
2019-11-21 10:04:31

玩转Zynq连载38——[ex57] Zynq AXI HP总线带宽测试

和Zstar的JTAG插座)。使用5V电源给板子供电。参考文档《玩转Zynq-环境篇:XilinxPlatformCableUSB下载器使用指南》烧录PL工程编译产生的.bit和.ltx文件到Zstar
2019-11-28 10:11:38

玩转FPGA (xilinx)FPGA设计大赛圆满结束

  电子发烧友网讯:由(xilinx)公司和华强PCB网赞助,电子发烧友网主办的玩转FPGA,设计大赛已经圆满结束。本活动旨在建立一个FPGA技能展示和技术交流平台,鼓励广大参赛者发挥
2012-09-06 11:52:48

玩转FPGA (xilinx)FPGA设计大赛获奖名单!!!

Spartan-6开发板  二等奖奖品: Xilinx Spartan-6开发板  三等奖奖品: 电子发烧友T恤+小礼品  大赛获奖名单    一等奖      姚佳毅(yjysdu)——车牌
2012-09-06 11:54:16

玩转FPGA,FPGA设计大赛开赛啦

经历过和牛人一起进行FPGA设计比赛的激烈竞争吗?你感受过FPGA原厂开发板和fpga行业泰斗直接带来的强烈震撼吗? 没经历过没关系,电子发烧友网主办,赞助的“FPGA方案开发设计大赛”已经为
2012-04-23 09:31:16

玩转FPGA,FPGA设计大赛活动细则,参赛必看

)二等奖:Xilinx Spartan-6开发板(5名)三等奖:电子发烧友T恤(10名)小奖品:官方期刊杂志【活动时间及流程】4月23日—7月31日报名阶段:4月23日至7月20日期间,参赛者报名
2012-04-24 14:40:58

玩转FPGA设计大赛上线,开始接受报名

经过准备,"玩转FPGA,超值开发板等你拿"设计大赛正式上线,现已开始接受报名.报名地址:https://www.elecfans.com/activities/fpga/
2012-04-23 15:31:01

7系列采用FPGA电源模块

。ROHM与安富利公司共同开发7系列FPGA及Zynq®–7000 All Programmable SoC的评估套件Mini-Module Plus 用的电源模块。安富利公司已经开发出多款
2018-12-04 10:02:08

Zynq-7000可扩展处理平台让编程流程更简单

的可扩展处理平台(EPP), 在今年3月发布了基于Zynq -7000新系列的首批器件。 采用28 nm制造工艺, Zynq-7000嵌入式处理平台系列的每款产品均采用带有NEON及双精度浮点引擎
2019-05-16 10:44:42

FPGA原理图例子之s3astarter

`FPGA原理图例子之s3astarter 一向是FPGA领域里的领先者,运用FPGA需要深入的理解它的工作原理,小编亲子整理了s3astarter 的经典fpga原理图分享给电子工程师们。FPGA原理图例子之s3astarter [hide][/hide]`
2012-03-16 10:41:19

FPGA对DLP数字影院投影仪产生了哪些影响?

公司(Xilinx)日前宣布NEC子公司NEC Display Solutions有限公司的三款DLP数字影院投影仪产品,均采用了Virtex®-5 FPGA系列产品。
2019-08-19 07:12:03

Spartan开发板使用困境记录 精选资料分享

Spartan开发板使用困境记录原理图和接口主要是对照核心的原理图,一般的接法就是系列的单片机,连接好电源和下载器,记得预先安好驱动,驱动安装成功与否能够在设备管理器处查看。作者困境
2021-07-13 08:42:10

Verilog(FPGACPLD)设计小技巧

Verilog(FPGACPLD)设计小技巧
2012-08-19 22:52:02

公司亚太区销售与市场副总裁给XILINX客户的信

尊敬的客户朋友们:在此,我谨代表公司与您分享一个激动人心的喜讯: 3 月1 日,公司宣布全球第一片28nmFPGA 芯片(7K325T) 成功量产了!该里程碑式信息的发布,不仅是
2012-03-22 15:17:12

有哪几种ISE设计套件配置版本 ?

有哪几种ISE设计套件配置版本 ?
2021-04-30 06:30:50

的DDR3读写地址一直重复怎么办?

最近在用的DDR3,用的AXi4接口,我写入的地址是按照突发长度来的,连续给8个读的地址,但是在DDR3端,dq_addr 一直在1418,1000,1010,0003,0002 等几个地址中
2016-06-24 10:38:18

的FPGA用什么开发工具编程,有没有大佬分享一下安装包

的FPGA用什么开发工具编程,有没有大佬分享一下安装包
2018-05-24 17:51:38

(XILINX)全新7系列FPGA详述

(XILINX)全新7系列FPGA详述
2012-08-14 12:20:22

AD9625的开发板AD-FMCADC3-EBZ能否与Virtex7直接连接?

模数转换器AD9625的评估AD-FMCADC3-EBZ能不能和的Virtex7系列FPGA开发板连接,我看到他们都具备JESD204B接口,物理接口上能直接连吗?还是说需要在使用转换接口来连接?
2023-12-08 08:25:12

ATK-领航者ZYNQ开发板-7010版本

ATK-领航者ZYNQ开发板-7010 Edition DEVB_120X160MM 6~24V
2023-03-28 13:05:54

ATK-领航者ZYNQ开发板-7020版本

ATK-领航者ZYNQ开发板-7020 Edition DEVB_120X160MM 6~24V
2023-03-28 13:05:54

FPGA是用altera多还是的多呢

FPGA是用altera多还是的多呢,我买的开发板是altera的,但是很多人推荐说学习的好
2016-01-09 21:27:25

FPGA设计大赛奖品介绍.iPad2+Xilinx Spartan-6开发板

`FPGA设计大赛奖品.苹果iPad2+Xilinx Spartan-6开发板 等你拿,FPGA发烧友、工程师们,心动了么,那就赶快猛戳这里报名吧一等奖奖品:iPad2+Xilinx
2012-04-25 09:32:46

LED流水灯实验的相关资料推荐

实验二:LED流水灯实验强调:实验二:LED流水灯实验使用的开发板原理图及本次使用的模块备注:实验二的代码部分强调:本文章为新手提供学习参考实验二:LED流水灯实验控制开发板上的LED灯,独立完成一
2022-01-21 06:39:20

N32G430C8L7_STB开发板

N32G430C8L7_STB开发板用于32位MCU N32G430C8L7的开发
2023-03-31 12:05:12

XilinxFPGA技术及应用线上公开课

` 本帖最后由 MGJOY 于 2017-4-10 15:07 编辑 本周三,4月12日,FPGA技术及应用线上公开课。欢迎大家观看、学习交流~分享主题【FPGA人工智能领域技术及应用】嵌入式视觉领域技术和解决方案机器学习方面的技术和解决方案ADAS/自动驾驶方面的应用`
2017-04-10 15:06:16

Xilinx方案

能做方案的,请联系
2019-01-21 19:31:40

”抢楼活动第二轮,中奖楼层公布!

超过3帖若中奖顺延下一楼层)注:中奖邮寄信息,以观看视频时登记信息为准 {:4_95:}中奖楼层及用户如下各位亲爱的小伙伴们,在大家的共同努力下,本次抢楼活动圆满结束喽,以下为本次的中奖楼层
2013-10-11 10:40:34

【AD新闻】新CEO访华绘蓝图,7nm ACAP平台要让CPU/GPU难企及

我们业务的核心,但今后将不再仅仅是一家FPGA企业。”Peng强调称,FPGA技术是的传统,已发展多年,包括在可编程芯片上全面集成了SoC,开发出了3D IC,构建了软件开发框架,并创建
2018-03-23 14:31:40

【MYD-CZU3EG开发板试用申请】轨道交通核心控制

项目名称:轨道交通核心控制试用计划:目前选取新CPU的型号XCZU2CG (SFVA784 package),与改开发板可以做到pin to pin替换。根据官网的文档ug1085,目前已
2019-09-18 14:27:40

【PYNQ-Z2申请】基于PYNQ-Z2平台的图像实时力学测量

PYNQ-Z2平台完善该项目的开源设计,并进一步提升性能。项目计划①根据文档,对赛PYNQ-Z2快速入门②通过学习PYNQ-Z2的软件和系统,了解实际应用案例,熟悉开发过程③基于PYNQ-Z2
2019-01-09 14:49:25

【Runber FPGA开发板】配套视频教程——LED流水灯实验

本视频是Runber FPGA开发板的配套视频课程,主要通过LED流水灯实验来介绍如何利用计数器按一定的时钟频率进行计时和数据位拼接操作,实现LED流水灯每0.5秒状态跳转一次,帮助用户快速掌握
2021-04-12 18:28:35

【Runber FPGA开发板】配套视频教程——键控流水灯实验

本视频是Runber FPGA开发板的配套视频课程,实验由一个按键控制LED灯4种不同状态的切换,通过键控流水灯实验帮助初学者快速掌握case语句的使用、顶层模块的编写以及理解模块的例化。本视频教程
2021-04-13 11:06:14

【合宙Air105开发板试用体验】开箱体验运行官方demo:流水灯+摄像头

收到货时,开发板包装非常精致和小巧。开发板对于一个初学者来说非常合适,功能非常齐全,上手非常快。我认为以下几点该开发板做的非常好:首先,开发板的资料非常齐全,即使完成不了解板子,也能很快上手官方
2022-05-23 19:39:49

【新定义MCU开发板测评】点灯及流水灯

一、开箱 收到的开发板由两块板子组成,一块RD8X3X开发板和一块EBS001扩展板。组合后如下图 二、点灯 1、在新定义官网下载易码魔盒并安装,链接如下 下载中心 (rdsmcu.com) 2
2023-08-13 12:24:30

【晒奖品】芯抢楼活动奖品收到+SINA31s开发板套件

` 非常感谢此次发烧友论坛和芯举办的芯开发板前楼活动,让本人此次有机会体验SINA31s的开发板套件。快递很给力,前天贴出快递单号今天下午就收到了板子。越是迫不及待的打开欣赏了一番,现在
2015-11-06 01:00:03

【联盛德W806-KIT开发板试用体验】收到板子先玩个渐变流水灯

收到板子先玩个渐变流水灯【联盛德W806-KIT开发板试用体验】LED闪烁1. 开发环境搭建​ 程序开发平台:CDK​ 程序下载软件:Upgrade_Tools_V1.4.8.exe​ 驱动
2021-11-24 23:47:47

为什么说已经远远领先于Altera?

Altera和20年来都在FPGA这个窄众市场激烈的竞争者,然而Peter Larson基于对两个公司现金流折现法的研究表明,是目前FPGA市场的绝对领先者。
2019-09-02 06:04:21

什么是丰富目标设计平台?

今年年初,率先在FPGA领域提出目标设计平台概念,旨在通过选用开放的标准、通用的开发流程以及类似的设计环境,减少通用工作对设计人员时间的占用,确保他们能集中精力从事创新性的开发工作。
2019-08-13 07:27:15

使用MATLAB & Simulink Add-on插件面向Versal AI引擎设计

MATLAB & Simulink Add-on插件是将 ModelComposer 和 System Generator forDSP完美结合的统一工具。
2021-01-28 06:33:40

回收Xilinx芯片 收购芯片

回收Xilinx带芯片, 回收工厂XILINX系列IC:XC3S1500FGG676EGQ、XC5VLX50-1FFG676、XC5VLX110-1FFG676C
2021-12-17 10:02:19

回顾Elecfans开放日之“跟安富利学FPGA的工业应用“

控制器的优势展示:Zedboard / MicroZed开发板,马达演示以及宣传资料【现场流程】13:30-14:00 签到 14:00-15:00 主题演讲“发挥 Xilinx All
2013-11-01 13:48:38

基于Zynq-7000的可扩展处理平台架构的高性能的Zing开发板

`Zing是一块由北京威视锐公司推出的基于可扩展处理平台架构的高性能开发板。它使用了最新推出的Zynq-7000系列芯片,它采用 28nm制程工艺,具有高性能、低功耗等特点,其最主要
2020-10-21 14:32:12

如何上手FPGA实现简单的流水灯效果

配置选择上篇【FPGA实验】流水灯实验记录了如何上手FPGA,实现简单的流水灯效果,本篇将稍微升级一些,通过按钮实现多种形态的流水灯。此次仍使用正点原子的开拓者FPGA开发板,配置和上篇一致。功能
2022-01-18 10:28:27

如何使用FPGA加速包处理?

FAST包处理器的核心功能是什么如何使用FPGA加速包处理?
2021-04-30 06:32:20

如何利用ZYNQ MPSoC玩DOOM?

和 DornerWorks 的系统软件团队在Zynq® Ultrascale+™ MPSoC 上启动 Xen Project 管理程序时,我们发现可通过运行当年叱诧一时的流行电子游戏
2019-10-09 06:21:21

如何利用28纳米工艺加速平台开发

全球可编程逻辑解决方案领导厂商公司 (Xilinx Inc.) 宣布,为推进可编程势在必行之必然趋势,正对系统工程师在全球发布新一代可编程FPGA平台。和前代产品相比,全新的平台功耗降低
2019-08-09 07:27:00

如何去实现开发板LED流水灯的功能

LED模块是通过什么来控制的?如何去实现开发板LED流水灯的功能?
2021-08-03 06:17:54

怎么利用FGPA实现降采样FIR滤波器?

怎么利用FGPA实现降采样FIR滤波器?这种滤波器在软件无线电与数据采集类应用中都很常见。
2019-08-15 08:21:22

怎样在STM32F103开发板上去点亮第一个流水灯

怎样在STM32F103开发板上去点亮第一个流水灯呢?有哪些步骤?
2022-02-23 06:08:58

怎样对一种基于AX301开发板流水灯进行实验

如何去使用AX301开发板?怎样对一种基于AX301开发板流水灯进行实验?
2021-08-18 06:49:55

提交FPGA设计方案,赢取FPGA开发板

玩转FPGA:iPad2,开发板等你拿”活动持续火爆进行中……………………活动得到了广大电子工程师积极强烈的支持,为了回报电子工程师和网站会员,现在只需提交fpga设计方案,就有机会获得
2012-07-06 17:24:41

暗点流水灯程序及仿真

51 AVR开发板(A6)暗点流水灯程序及仿真下载 (1.56 MB)1 秒前手机不给力,拍的不清晰开发板上已验证,仿真的文件也有,和仿真有些不一样,真的是要块板子实践一下,仿真永远是仿真. 程序下载:单片机流水灯暗点流动程序及仿真.rar
2013-01-10 15:39:07

开发环境ISE软件下载地址

刚开始学的FPGA,求他的ISE软件下载地址,我在网上没搜到。谢谢了
2012-08-02 09:52:12

求xilinx的XC7V2000T这块FPGA的开发板原理图,万谢

请问各位大神,谁有XC7V2000T这块FPGA的开发板原理图,求一份,多谢
2015-09-07 17:05:03

开发板安卓底层学习经验

` 本帖最后由 HelloWii 于 2015-9-9 11:52 编辑 买了一块芯开发板,这款板子总的来说是相当不错的,性能稳定,资源相当丰富,而且还配有学习视频,这些呢,是我自己通过学习
2015-09-09 11:38:25

SinImx6ul开发板启动模式选择

SinImx6ul开发板支持三种启动方式,分别是SD卡、 NandFLASH 、 USB下图是启动模式选择NXP Imx6ul芯片的特点是接口多,功耗低,A7处理器,全工业级,性价比好。
2018-10-30 09:53:53

SinlinxA33开发板 使用MIPI DSI屏幕

SinlinxA33开发板 使用MIPI DSI屏幕我这里用的是从芯买的mipi屏幕,其它型号屏幕也可以参考首先准备好相关屏幕驱动mb709_mipi.c将到mb709_mipi.c
2019-01-02 09:49:36

开发板子有用过的朋友吗?

开发板子有用过的朋友吗?这款板子怎么样啊
2015-07-13 10:07:31

详解All Programmable Smarter Vision解决方案

详解All Programmable Smarter Vision解决方案
2021-06-02 06:56:12

请问AD9625的开发板AD-FMCADC3-EBZ能否与Virtex7直接连接?

模数转换器AD9625的评估AD-FMCADC3-EBZ能不能和的Virtex7系列FPGA开发板连接,我看到他们都具备JESD204B接口,物理接口上能直接连吗?还是说需要在使用转换接口来连接?
2018-07-31 07:45:33

这颗是限制料还是翻新料?

丝印查不到系列型号,引脚数量也对不上所有型号规格,也没有韩国产地
2023-02-24 17:01:32

采用FPGA实现DisplayPort详细教程【内部资料】

一些芯片制造商已针对上述应用推出了现成的标准发送器和接收机,而推出了名为 Xilinx LogiCORETMDisplayPort v1.1(v1.2 将在 IDS 12.1中配套提供
2012-03-01 11:10:18

高价回收系列IC

高价回收系列IC长期回收系列IC,高价求购系列IC。深圳帝欧长期回收ic电子料,帝欧赵生***QQ1816233102/879821252邮箱dealic@163.com。帝欧回收
2021-04-06 18:07:50

:“玩转FPGA (xilinx)FPGA设计大赛”获奖奖品展示

Spartan-6开发板  三等奖奖品: 电子发烧友网T恤+小礼品  那接下来我们就展示一下奖品阵容吧!先给大家看看我们的iPad 2吧。图 一等奖奖品之iPad 2#p#Spartan-6开发板
2012-09-06 14:33:50

米尔MYD-C7Z015开发板,XILINX FPGA ZYNQ 7000人工智能核心开发板

MYD-C7Z015是米尔科技推出的基于Xilinx Zynq-7015(XC7Z015)芯片的一款FPGA+ARM的嵌入式开发板,该产品采用核心加底板架构模式,提供了稳定的CPU最小系统模块,方便二次开发产品外围接口、功能,使不同行业应用的产品快速上市。
2021-07-27 11:08:19

斑梨电子 8位独立LED流水灯模块 适用于Arduino迷你跑马灯

斑梨电子 8位独立LED流水灯模块 适用于Arduino迷你跑马灯产品简介:本产品为8位独立LED跑马灯流水灯模块,适用于Arduino开发板,板载限流电阻、2.54引脚间距,标准间距,方便再面板
2022-11-16 16:40:09

790.被并入AMD对中国FPGA厂商有什么意义?

fpga
小凡发布于 2022-10-05 02:52:44

赛灵思(Xilinx) Zedboard开发板简介

电子发烧友网核心提示 :ZedBoard是基于Xilinx Zynq-7000扩展式处理平台(EPP)的低成本开发板,也是行业首个面向开源社区的Zynq-7000扩展式处理平台。此板可以运行基于Linux、Android、Windo
2012-11-23 16:40:0813585

一步一步学ZedBoard:使用PL流水灯(完整工程文件下载)

一步一步学ZedBoard:使用PL流水灯:目的是为了学习不使用ARM PS情况下,只对Zynq PL的编程方法,同时学习Xilinx PlanAhead工具的使用方法。(本资料是其相应的完整工程文件下载)
2012-12-05 13:52:39185

一步一步学ZedBoard Zynq(二):使用PL流水灯

《一步一步学ZedBoard & Zynq》系列第二篇,目的是为了学习不使用ARM PS情况下,只对Zynq PL的编程方法,同时学习Xilinx?PlanAhead工具的使用方法?
2017-02-10 20:24:113749

ZedBoard开发套件的简单介绍

ZedBoardZynq评估和开发委员会) ZedBoard是一款完整的开发套件,适用于对使用Xilinx:Zynq™-7000 All Programmable SoC探索设计感兴趣的设计人员。
2018-11-30 06:05:002982

Zedboard AP SoC评估开发板的详细资料简介

  Zedboard是一个基于Xilinx Zynqtm-7000全可编程SoC(AP SoC)的评估和开发板,它结合了一个双COREX-A9处理系统(PS)和85000系列7可编程逻辑(PL)单元
2019-02-13 17:16:3337

使用FPGA开发板进行奇偶流水灯的详细资料说明

本文档的主要内容详细介绍的是使用FPGA开发板进行奇偶流水灯的详细资料说明。
2019-04-28 08:00:003

ZYNQ实战之PL端LED流水灯设计

ZYNQ进阶之路1 中我们讲解了PL端LED流水灯的工程的建立,编码,综合和下载!本节主题,PL端PWM输出设计,讲解怎么用ZYNQ PL端简单实现2通道PWM波输出,其中工程创建过程以及综合
2020-11-25 15:06:361406

关于zynq 双核运行的流水灯工程

zynq 7000 一般有2个cpu (arm A9),我们一般都用一个cpu0,本实验让2个cpu 都运行起来,cpu0 运行操作系统petalinux 2018.2, cpu1: 裸机流水灯。同时通过共享内存的方式,实现2个核之间的交互。
2022-09-13 09:22:14764

基于FPGA开发板流水灯的设计实现

流水灯,有时候也叫跑马灯,是一个简单、有趣又经典的实验,基本所有单片机的玩家们在初期学习的阶段都做过。本次我们也来介绍一下如何通过小脚丫FPGA实现一个流水灯
2023-06-20 17:10:18866

已全部加载完成