电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>HDL语言及源代码>基于EDA技术和VHDL语言的新型智能电子密码锁的设计与实现

基于EDA技术和VHDL语言的新型智能电子密码锁的设计与实现

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

基于EP1C3T144C6芯片和VHDL语言实现语音电子密码锁的设计

电子设计自动化)技术,利用QuartusⅡ工作平台和VHDL(超高速集成电路硬件描述语言),设计了一种新型电子密码锁
2021-01-15 10:21:311390

10、基于51单片机电子密码锁的设计

基于51单片机电子密码锁的设计
2013-08-20 14:00:15

51单片机密码锁

` 本帖最后由 零下酒酒度 于 2013-5-25 10:14 编辑 1. 电子密码锁采用51单片机作为主控芯片,4x4矩阵键盘作为输入设备,通过LCD1602显示,使用24C02芯片用于存储
2013-05-24 10:54:22

51单片机C语言教程+51电子密码锁

51单片机C语言教程+51电子密码锁
2012-07-24 00:29:01

智能密码锁设计

本课题是要发设计一种基于单片机控制的智能电子密码锁,主要功能有:按用户使用要求可设置、修改密码,遥控或按键开锁,错误报警、显示等辅助功能。密码可设4~8位,并可在线修改并存储;密码输错3次应有报警
2013-12-10 20:43:33

智能密码锁设计

智能密码锁设计 仿真编程 设计说明
2013-09-16 16:08:08

智能密码锁设计-51单片机

智能密码锁 设计仿真程序
2013-09-16 16:12:52

电子密码锁

本帖最后由 eehome 于 2013-1-5 09:46 编辑 电子密码锁
2012-08-17 10:35:07

电子密码锁

(1)用电子器件设计制作一个密码锁,使之在输入正确的代码时开锁。(2)在的控制电路中设一个可以修改的4位代码,当输入的代码和控制电路的代码一致是打开。(3)用红灯亮、绿灯灭表示关,绿灯亮、红灯
2014-12-02 16:38:31

电子密码锁

电子密码锁 挺不错的程序
2014-03-28 19:27:02

电子密码锁

分享一个电子密码锁,初学者看看
2016-05-08 17:10:15

电子密码锁

基于LCD 1602 电子密码锁
2013-11-27 11:10:13

电子密码锁

基于单片机的红外遥控电子密码锁求帮助指点,小弟在这跪谢。。。。
2014-04-04 11:02:59

电子密码锁

想通过multisim实现电子密码锁,请问怎么做
2020-09-22 10:27:10

电子密码锁

有没有可以定时的电子密码锁的相关资料啊?
2017-03-31 20:02:05

电子密码锁

求各路大神指导,本人刚做了一个电子密码锁,错误3次可以倒计时,现在做到了修改密码这部分,程序一直调不出来。。怎么解决?
2013-11-03 13:01:16

电子密码锁

自己做的个电子密码锁,拿来和大家分享。
2013-04-06 09:49:33

电子密码锁

请教大神,我毕业设计选了电子密码锁的设计,不太清楚具体硬件都需要那些单片机,哪位知道啊?
2013-10-30 21:54:38

电子密码锁

谁有电子密码锁的源程序 毕业设计要用谢谢大家
2013-06-02 14:02:25

电子密码锁1602液晶显示资料

电子密码锁1602液晶显示资料
2012-08-20 11:17:12

电子密码锁功能简介

电子密码锁功能简介:1.单片机型号:STC89C52/51、AT89C52/51、AT89S52/51可以任选。2.采用矩阵按键输入、1602液晶显示、继电器模拟开锁、发光二极管为开锁指示灯,继电器
2021-07-19 10:51:32

电子密码锁小制作(含源程序)

电子密码锁小制作 分享
2015-09-19 20:32:31

电子密码锁常见性能特点

密码锁是以芯片为核心,通过编程来实现的。 电子密码锁常见性能特点1.入侵感应功能  在门上锁的状态下,有人破而入时,会发出强力的报警音。  2.火灾报警功能  在室内如果温度达到75°左右,将会发出强力
2012-01-06 10:46:00

电子密码锁报告加仿真

电子密码锁报告加仿真,,有兴趣可以学习下
2013-12-20 23:11:22

电子密码锁整套资料

电子密码锁整套资料
2012-08-20 14:58:54

电子密码锁毕业设计论文

完整的电子密码锁毕业论文
2015-12-17 12:24:35

电子密码锁的原理图和程序下载

分享一个电子密码锁的原理图和程序,希望大家能够学习学习密码锁.rar 2014-9-2 09:19 上传 点击文件名下载附件 26.01 KB, 下载次数: 153
2018-07-19 02:30:46

电子密码锁的设计与实现

电子密码锁的设计与实现一、实验目的1.进一步掌握键盘扫描和LED显示的程序设计。2.了解按键消抖的方法。3.综合运用微机原理的软硬件知识。二、实验内容与要求1.基本要求(1)具有密码输入功能,密码
2014-11-10 11:43:45

电子密码锁的远程报警怎么实现啊?

电子密码锁的远程报警怎么实现啊?
2012-04-12 10:39:40

电子密码锁程序

电子密码锁程序
2013-01-21 14:04:28

电子密码锁程序

电子密码锁程序,看附件。
2012-08-08 21:44:52

电子密码锁设计

电子密码锁设计
2013-09-05 14:31:39

电子密码锁设计中的开锁电路

电子密码锁设计中的开锁电路在电子密码锁设计中,开锁电路有着十分重要的作用,是密码锁设计非常关键的一环。电磁继电器的工作原理和特性电磁式继电器一般由铁芯、线圈、衔铁、触点簧片等组成的。只要在线圈两端
2012-01-06 14:49:17

电子密码锁(来源网上)

电子密码锁(来源网上)
2013-09-17 16:46:10

串行通信的电子密码锁资料

串行通信的电子密码锁资料
2012-08-20 10:54:38

使用单片机实现简易密码锁

基于ATMEGA128的密码锁题目要求题目分析仿真结果主函数代码题目要求密码锁题目要求使用单片机实现简易密码锁,通过输入密码实现门锁的开启(控制继电器)。具体要求如下:(1)当输入正确密码
2021-11-23 06:10:40

使用单片机设计电子密码锁

本课程设计题目是基于单片机的电子密码锁,由单片机控制程序结合LCD1602显示器实现密码输入、密码显示、密码比较处理功能。本课程设计可学习矩阵按键扫描输入、LCD1602显示器的显示、密码对比处理的设计方法。
2023-09-26 07:52:00

关于基于单片机的智能密码锁的设计

前一段时间,做了一个关于基于单片机的智能密码锁的设计
2021-11-19 08:56:17

单片机密码锁

密码时显示INPUTPASSWORD;3.实现输入密码错误超过限定的三次电子密码锁定;4.4×4的矩阵键盘其中包括0-9的数字键和确认、重设、清除以及关功能键;5.本产品具备报警功能,当输入密码错误
2019-08-30 13:46:22

单片机电子密码锁设计

单片机电子密码锁设计
2012-08-20 19:06:25

单片机电子密码锁设计+解密大全

,完成开锁、闭锁任务的电子产品。它的种类很多,有简易的电路产品,也有基于芯片的性价比较高的产品。应用较广的电子密码锁是以芯片为核心,通过编程来实现的。 单片机解密又叫单片机破解,芯片解密,IC解密,但是
2018-10-10 16:45:16

单片机的电子密码锁

单片机的电子密码锁
2015-04-25 13:25:21

基于51单片机的电子密码锁

基于51单片机的电子密码锁
2012-07-04 10:20:34

基于51单片机的电子密码锁程序

基于51单片机的电子密码锁程序,51单片机控制的万能密码锁系统,PROTEUS仿真文件初始密码:12345678此程序用51单片机控制74LS164存器来实现单片机与存储器之间通过IIC通讯来建立
2021-07-14 07:26:42

基于51的电子密码锁设计

本帖最后由 电子狂飙 于 2013-2-26 16:31 编辑 寒假做的一个电子密码锁,跟大家分享分享!欢迎大家指出不足之处!上项目图:
2013-02-26 15:24:45

基于VHDL设计的密码锁论文(2)

基于EDA技术设计的电子密码锁。以其价格便宜、使用方便、安全性高、成本低、功耗低、易操作等优点,受到了人们的普遍关注。而以可编程逻辑器件(FPGA)为设计载体,以硬件描述语言(VHDL)为主
2012-02-08 16:47:03

基于vhdl设计的密码锁论文

本文介绍的VHDL密码锁应具有如下功能:密码预先存入寄存器中,开锁时,输入密码存入另一寄存器中,当按下“确定”键时,启动比较器,比较两个寄存器中的内容,当结果相同时开锁;当结果不同时不开锁。用户需要
2012-02-08 16:45:19

基于AT89C51单片机的电子密码锁设计

总体设计本设计是基于AT89C51单片机的电子密码锁设计,实现电子密码锁的基本功能。器件选择序号器件编号Proteus器件名称器件性质参数及说明 1U1AT89C51单片机12MHz 2D1-D2LED.
2021-12-13 06:33:17

基于ATMEGA的密码锁

基于ATMEGA的密码锁,能够改变密码
2014-07-25 20:56:09

基于FPGA芯片和VHDL语言实现语音电子密码锁的设计

电子设计自动化)技术,利用QuartusⅡ工作平台和VHDL(超高速集成电路硬件描述语言),设计了一种新型电子密码锁。该密码锁具有密码预置、修改、语音提示和3次输入错误则系统进入定时锁定并报警等功能,用
2021-07-03 08:00:00

基于单片机电子密码锁设计

基于单片机电子密码锁设计
2016-10-22 22:33:07

基于单片机的智能密码锁的设计

【设计简介:本设计是基于单片机的智能密码锁的设计,主要实现以下功能:可实现输入正确密码进行开门,如果三次输入错误,会锁住键盘可实现指纹识别、一键关门、一键报警等功能可实现重置密码功能可实现通过LCD1602显示密码输入界面、密码重置界面、密码输入错误界面等...
2021-11-19 07:00:28

基于单片机的电子密码锁

功能简述:电子密码锁具有防盗报警功能,它克服了机械式密码锁密码量少、安全性能差的缺点。用户可以设置、修改密码,若三次输入密码不正确会发出报警。设计任务:1、用4位数码管实时显示电子密码锁状态;2
2013-05-09 21:47:18

基于单片机的电子密码锁

功能简述:电子密码锁具有防盗报警功能,它克服了机械式密码锁密码量少、安全性能差的缺点。用户可以设置、修改密码,若三次输入密码不正确会发出报警。设计任务:1、用4位数码管实时显示电子密码锁状态;2
2013-05-09 21:50:31

基于单片机的电子密码锁设计

、声光提示等功能,依据实际的情况还可以添加遥控功能。本系统成本低廉,功能实用    关键词:STC89C52,AT24C02, 电子密码锁,矩阵键盘一、 引言    随着人们生活水平的提高,如何实现家庭
2020-09-24 08:50:44

基于单片机的电子密码锁设计

功能简述:电子密码锁具有防盗报警功能,它克服了机械式密码锁密码量少、安全性能差的缺点。用户可以设置、修改密码,若三次输入密码不正确会发出报警。设计任务:1、用4位数码管实时显示电子密码锁状态;2
2013-05-09 22:09:59

基于单片机的电子密码锁设计

单片机电子密码锁Proteus仿真+程序3.6 开锁机构在基于单片机的电子密码锁设计中,用户需要输入密码密码正确则发出开锁信号开锁。在这次基于单片机的电子密码锁设计中,通过控制蜂鸣器的发音来指示
2021-11-30 08:24:54

基于单片机的电子密码锁设计 精选资料分享

实际的情况还可以添加遥控功能。本系统成本低廉,功能实用   关键词:STC89C52,AT24C02, 电子密码锁,矩阵键盘一、 引言    随着人们生活水平的提高,如何实现家庭防盗这一问题也变的尤其的
2021-07-16 06:39:07

基于单片机的指纹识别电子密码锁设计

基于单片机的指纹识别电子密码锁设计
2012-08-20 12:01:45

如何利用51单片机实现密码锁设计?

如何利用51单片机实现密码锁设计?
2021-11-04 07:57:20

如何利用51单片机实现电子密码锁的设计

基于单片机的电子密码锁,通过密码输入来控制芯片工作,完成开锁、闭锁、修改密码等任务的电子产品。具有保密性高、成本低、功耗低、操作简单,使用灵活性好等特点。
2021-11-10 06:44:19

如何去实现一种基于单片机的智能电子密码锁设计

摘要:随着信息技术的不断发展,信息化时代也逐步进入普通家庭,人们对个人的信息安全以及隐私等私密信息的安全要求不断提高,传统的机械已经不能满足要求。针对此现状设计一款安全可靠廉价的电子密码锁有着
2021-11-09 08:35:34

如何设计电子密码锁EDA

随着社会物质财富的日益增长,安全防盗已成为全社会关注的问题。基于EDA技术设计的电子密码锁,以其价格便宜、安全可靠、使用方便,受到了人们的普遍关注。
2019-11-05 07:19:06

带自功能的密码锁怎么设计?

带自功能的密码锁设计原理
2020-03-25 08:35:53

怎么实现基于STC51单片机的密码锁设计?

怎么实现基于STC51单片机的密码锁设计?
2021-10-20 06:42:19

怎么实现基于单片机的电子密码锁设计?

怎么实现基于单片机的电子密码锁设计?
2021-11-04 06:13:22

怎么利用FPGA设计语音密码锁系统?

电子密码锁系统主要由电子锁体、电子密匙等部分组成,一把电子密匙里能存放多组开锁密码,用户在使用过程中能够随时修改开锁密码,更新或配制钥匙里开锁密码。一把电子锁可配制多把钥匙。语音方面的广泛应用,使得
2019-08-15 07:44:25

怎样去设计一种基于51单片机的电子密码锁电路

怎样去设计一种基于51单片机的电子密码锁电路?基于51单片机的电子密码锁为什么仿真会不成功呢?
2021-08-31 07:35:28

怎样去设计一种基于51单片机的WIFI遥控防盗电子密码锁APP?

基于51单片机的WIFI遥控防盗电子密码锁APP的原理是什么?怎样去设计一种基于51单片机的WIFI遥控防盗电子密码锁APP?
2021-07-16 06:36:43

怎样去设计一种基于单片机的指纹识别电子密码锁

指纹识别的原理是什么?怎样去设计基于单片机的指纹识别电子密码锁的硬件电路?怎样去设计基于单片机的指纹识别电子密码锁的软件部分?
2021-09-18 08:06:20

想用单片机做个触摸的电子密码锁

想用单片机做个触摸的电子密码锁,哪位大神做过吗,怎么做啊?
2015-03-27 16:49:39

数字密码锁设计

数字密码锁设计
2014-05-23 21:18:35

数码管电子密码锁

电子 密码锁,可设定新密码。推荐课程:课程名称:PCB电磁兼容设计案例分析与仿真解析课程链接:http://url.elecfans.com/u/05942d9ef
2014-10-24 10:27:10

求一单片机电子密码锁原理图和程序

求一单片机电子密码锁原理图和程序,跪谢
2020-06-06 15:28:23

求单片机密码锁的c语言程序

想做一个单片机控制的密码锁,苦于参考了百度文库的程序,还是不够有思绪,求完整c语言程序,我用的是1602的液晶显示屏
2014-04-12 10:39:17

设计电子密码锁时,怎么实现控制密码输错几次就不能再输入的功能

设计电子密码锁时,怎么实现控制密码输错几次就不能再输入的功能
2017-06-19 20:36:33

设计单片机电子密码锁需要哪些元件?

`最近有很多人忙着做毕业设计,做单片机电子密码锁的人也不少。但是我先在要做一个实体的电子密码锁,求教设计单片机电子密码锁需要哪些元件?大侠帮助啊`
2012-01-06 11:03:23

语音密码锁

求语音密码锁的原理图!程序!
2012-06-22 20:19:49

请问怎样去设计电子密码锁

为什么要设计电子密码锁?基于Max+Plus II和VHDL电子密码锁如何去设计?
2021-04-28 06:12:38

采用STC89C52单片机实现智能电子密码锁的控制功能

安全性,电子密码锁应运而生,它具有防试探按键输入,智能控制上锁、开锁、报警、修改密码等多种功能。鉴于以往单片机的内部程序存储器不能由单片机自身修改,要完成修改密码功能,多采用片外串行E2PROM实现
2018-07-19 02:04:41

采用STC89C52单片机的电子密码锁设计原理及实现

随着科学技术的不断发展,人们对日常生活中的安全保险器件的要求越来越高。为满足人们对门锁的使用要求、增加其安全性,电子密码锁应运而生,它具有防试探按键输入,智能控制上锁、开锁、报警、修改密码等多种功能
2009-10-23 09:58:47

电子密码锁源程序 (C语言代码)

电子密码锁源程序 (C语言代码)
2009-03-28 09:44:07379

基于VHDL语言智能密码锁设计

本文介绍一种利用 EDA技术VHDL 语言 ,在MAX+PLUSⅡ环境下,设计了一种新型智能密码锁。它体积小、功耗低、价格便宜、安全可靠,维护和升级都十分方便,具有较好的应用前景。
2010-08-03 16:51:430

电子密码锁EDA技术设计方案

电子密码锁EDA技术设计方案 基于EDA技术设计的电子密码锁,以其价格便宜、安全可
2010-04-29 10:52:103646

电子密码锁的C语言程序

这个是电子密码锁的C语言程序,以及电子密码锁的仿真图。
2016-07-29 17:26:13127

eda简易密码锁的设计方案汇总(三款eda简易密码锁的设计原理图详解)

本文主要介绍了eda简易密码锁的设计方案汇总(三款eda简易密码锁的设计原理图详解)。利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子
2018-03-10 09:40:1714460

VHDL电子密码锁设计

电子密码锁的使用体现了人们消费水平、保安意识和科技水平的提高,而且避免了携带甚至丢失钥匙的麻烦。目前设计密码锁的方法很多,例如用传统的PCB板设计、用PLC设计或者用单片机设计等等。而用VHDL可以
2018-06-27 08:00:0010

基于FPGA芯片和ISD2560实现新型电子密码锁的设计

(电子设计自动化)技术,利用QuartusⅡ工作平台和VHDL(超高速集成电路硬件描述语言),设计了一种新型电子密码锁
2020-07-15 08:00:001814

已全部加载完成