电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>HDL语言及源代码>基于FPGA和VHDL的简易微机的结构分析与应用

基于FPGA和VHDL的简易微机的结构分析与应用

12下一页全文

本文导航

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

fpga内部主要结构及其功能分析(Kintex-7FPGA内部结构

Kintex-7 FPGA的内部结构相比传统FPGA的内部结构嵌入了DSP48E1,PCIE,GTX,XADC,高速IO口等单元,大大提升了FPGA的性能。
2023-08-24 09:26:561393

8086微机内部结构由什么组成

本文章以8086微机系统为例,简要介绍微机系统的物理构成。一、结构概述8086微机系统内部分为执行单元(EU)与总线接口单元(BIU)两部分。其中执行单元由运算器、通用寄存器、标志寄存器、控制电路几部分组成。具体器件构成见下图:二、具体讲解1.执行单元数据寄存器AX:累加器I/O接口与单片机
2022-01-25 07:50:31

FPGA 加三移位法怎么用vhdl语言写?

FPGA 加三移位法,有人用vhdl 语言写过吗
2019-03-20 15:59:05

FPGA、Verilog HDL与VHDL的利弊

Verilog HDL优点:类似C语言,上手容易,灵活。大小写敏感。在写激励和建模方面有优势。缺点:很多错误在编译的时候不能被发现。VHDL优点:语法严谨,层次结构清晰。缺点:熟悉时间长,不够灵活
2021-08-19 16:07:45

FPGA中文VHDL语言基础教程

希望在今后的学习中大家多多帮助,先来几个基础的verilog 教材吧 现在我用到了FPGA关键分配的知识。 不过还是想系统的学习一下。那就先从软件的使用和语法开始学习吧。 完整的pdf格式文档电子发烧友下载地址(共31页): FPGA中文VHDL语言教程.pdf
2018-07-04 01:11:32

FPGA实现直接数字频率合成(DDS)的原理、电路结构和优化...

FPGA实现直接数字频率合成(DDS)的原理、电路结构和优化方法介绍了利用现场可编程逻辑门阵列FPGA实现直接数字频率合成(DDS)的原理、电路结构和优化方法。重点介绍了DDS技术在FPGA中的实现
2012-08-11 18:10:11

FPGAVHDL有哪些优点?怎么理解VHDL

的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。3.大规模设计一些大型的 FPGA 设计项目必须有多人甚至多个开发组共同并行工作才能实现。VHDL 语句的行为描述能力和程序结构决定了它具有
2018-09-07 09:04:45

FPGAVHDL程序基本结构是怎样的?FPGAVHDL程序设计单元关系图

一般的 VHDL 程序可以由实体(Entity)、结构体(Architecture)、配置(Configuration)、程序包和程序包体(Package)以及库(Library)5 个部分
2018-09-07 09:11:08

FPGA编程是用VHDL还是verilog HDL好用?谢谢了!

[color=#444444 !important]FPGA编程是用VHDL还是verilog HDL好用?谢谢了!
2012-06-19 17:39:00

VHDLFPGA设计

VHDLFPGA设计
2012-08-17 09:44:37

VHDL语言的程序结构与数据类型

程序的基本结构由于VHDL已是IEEE规定的标准,所以只是CPLD、FPGA等芯片公司,它们都会提供这个标准的定义库(Library IEEE),而且由于这里面写了许多的定义和参数,初学者一时之间也
2009-03-19 14:52:00

vhdl实用教程pdf下载

1.5 关于在系统编程技术1.6 关于FPGA/CPLD的优势1.7 关于VHDL的学习第2章 VHDL入门2.1 用VHDL设计多路选择器和锁存器2.2 用VHDL设计全加器第3章 VHDL程序结构
2008-06-04 10:31:29

vhdl是什么

超高速集成电路硬件描述语言,主要是应用在数字电路的设计中。它在中国的应用多数是用在FPGA/CPLD/EPLD的设计中。当然在一些实力较为雄厚的单位,它也被用来设计ASIC。VHDL主要用于描述数字系统的结构
2015-09-30 13:48:29

微机保护的硬件结构原理阐述

电流保护、正序电流保护、过载保护、堵转保护、过热保护、失压保护等功能。最后对电动机微机保护装置提出了一些改进看法,并预测了微机电动机保护装置的发展趋势。阐述了微机保护的硬件结构原理和微机型电动机保护装置的
2021-09-02 07:40:53

微机实验时写的简易计算器

早上刚考完微机原理, 唉, 没心复习, 发现好多不会填不管了, 对不挂科我还是比较有信心滴~发个之前微机原理实验课写的小程序, 实现一个简易的计算器, 不支持除法和()这类的表达式, 本来想写
2019-03-22 06:10:16

微机继电保护的维护分析

保护装置的故障和误差概率,从而提高装置的可靠性。微机保护装置可靠性高,可以更好地满足现代电力系统的运行要求。通过分析影响线路保护的因素,提出了一些改善继电保护的措施,以供参考。一、影响方面1.在强电磁干扰信号
2018-09-27 09:27:29

ModelSim IntelFPGA中是否提供VHDL源代码模板?

ModelSim IntelFPGA中是否提供VHDL源代码模板?我注意到该选项存在于标准ModelSim版本中,但我还没有在IntelFPGA版本中找到它。以上来自于谷歌翻译以下为原文
2018-11-14 11:42:38

[分享] 基于FPGA简易微机结构分析与实现

微型计算机的原理及结构一般不易理解掌握,利用FPGA来学习并构建一个简易微型计算机无疑是一个好方法,对EDA的软硬件学习也是一个不错的选择,可为将来进行相关ASIC没计打下良好的基础。
2014-12-04 14:35:41

[分享] 基于FPGA简易微机结构分析与实现

微型计算机的原理及结构一般不易理解掌握,利用FPGA来学习并构建一个简易微型计算机无疑是一个好方法,对EDA的软硬件学习也是一个不错的选择,可为将来进行相关ASIC没计打下良好的基础。
2014-12-04 14:36:22

FPGA学习】VHDL并行语句有哪些 VHDL并行语句怎么写

VHDL 不仅仅提供了一系列的顺序语句,同样也提供了很多并行语句。在 VHDL 中,并行语句主要包括以下几种:• 进程(PROCESS)语句;• 块(BLOCK)语句;• 并发信号赋值;• 条件信号
2018-09-13 10:14:51

【下载】《CPLD/FPGA的开发与应用》

的可编程逻辑器件供应商Xilinx公司的产品为背景,系统全面地介绍该公司的CPLD/FPGA产品的结构原理、性能特点、设计方法以及相应的EDA工具软件,重点介绍CPLD/FPGA在数字系统设计、数字通信
2018-03-29 17:11:59

使用VHDL语言设计FPGA有哪些常见问题?

请问使用VHDL语言设计FPGA有哪些常见问题?
2021-05-06 09:05:31

分享一款不错的基于FPGA简易频谱分析仪设计方案

一种基于FPGA简易频谱分析仪设计方案,其优点是成本低,性能指标满足教学实验所要求的检测信号范围。
2021-04-30 06:43:21

勇敢的芯伴你玩转Altera FPGA连载4:Verilog与VHDL

`勇敢的芯伴你玩转Altera FPGA连载4:Verilog与VHDL特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1i5LMUUD Verilog
2017-09-26 21:07:34

基于FPGAVHDL的LED点阵汉字滚动显示设计方案

本帖最后由 eehome 于 2013-1-5 10:11 编辑 基于FPGAVHDL的LED点阵汉字滚动显示设计方案
2012-08-19 23:20:48

基于FPGA简易微型计算机结构及原理分析

的设计带来了极大的灵活性,用户可以利用FPGA(现场可编程门阵列)来开发出一个精简指令的CPU,同时对微型计算机的原理及结构进行充分研究,便于将来进行相关ASIC(专用集成电路)设计,也可用于计算机原理教学之中。
2019-06-27 07:40:42

基于FPGA简易数字信号传输性能分析

基于FPGA简易数字信号传输性能分析
2015-12-21 09:40:36

基于FPGA简易数字信号传输性能分析仪_张骁

基于FPGA简易数字信号传输性能分析仪_张骁
2015-12-21 12:03:56

基于FPGA简易逻辑分析

本帖最后由 eehome 于 2013-1-5 09:50 编辑 基于FPGA简易逻辑分析
2012-07-19 19:01:30

基于FPGA简易频谱分析仪该怎么设计?

目前,由于频谱分析仪价格昂贵,高等院校只是少数实验室配有频谱仪。但电子信息类教学,如果没有频谱仪辅助观察,学生只能从书本中抽象理解信号特征,严重影响教学实验效果。 针对这种现状提出一种基于FPGA简易频谱分析仪设计方案,其优点是成本低,性能指标满足教学实验所要求的检测信号范围。
2019-08-23 07:07:07

基于FPGA的可重构系统结构分析

  由于可重构系统的研究历史很短,目前尚未形成标准的结构形式,在此仅根据已有的应用做初步分析。  按重构的粒度和方式,可重构系统可以粗略地分为两种。一种是粗粒度重构单元的模块级重构,即重构时改变
2011-05-27 10:24:20

基于VHDLFPGA与NIOS_II实例精炼代码实例下载

http://115.com/file/ant54869#《基于VHDLFPGA与NIOS_II实例精炼》第七章代码.rarhttp://115.com/file/e7wphx31#《基于VHDL
2012-02-06 11:27:54

基于VHDLFPGA与NIOS_II实例精炼视频教程免费下载

精炼》第十五章_SDRAM读写操作的实现.avihttp://115.com/file/c2m0dlb3#《基于VHDLFPGA与NIOS_II实例精炼》第二章_VHDL的基本结构.avihttp
2012-02-06 11:22:55

基于微机保护控制接口装置实现CPLD抗干扰设计

信息。 多CPU结构设计是防止保护误动,提高微机保护系统可靠性的一种有效措施,但采用多CPU结构使硬件结构复杂,调试繁琐,成本高,而且当多CPU同时程序跑飞时,同样造成控制出口失控,有可能引起误动
2019-04-25 07:00:04

基于CPLD和FPGAVHDL语言电路优化设计

语言进行CPLD/FPGA设计开发,Altera和Lattice已经在开发软件方面提供了基于本公司芯片的强大开发工具。但由于VHDL设计是行为级设计,所带来的问题是设计者的设计思想与电路结构相脱节,而且
2019-06-18 07:45:03

如何利用FPGAVHDL语言实现PCM码的解调?

利用现场可编程门阵列(FPGA)和VHDL 语言实现了PCM码的解调,这样在不改变硬件电路的情况下,能够适应PCM码传输速率和帧结构变化,从而正确解调数据。
2021-05-07 06:58:37

如何搭建一个简易方便的数据采集分析系统?

如何搭建一个简易方便的数据采集分析系统?
2021-11-19 06:33:32

如何搭建一个简易方便的数据采集分析系统?

如何搭建一个简易方便的数据采集分析系统?
2021-11-19 07:40:48

有关FPGA开发语言VHDL和Verilog的疑惑

本人小菜鸟,开始学FPGA的时候学的Verilog语言,后来因为课题组前期的工作都是VHDL就该学VHDL了。最近听了几个师兄的看法,说国内用VHDL的已经很少了,建议我还是坚持用Verilog,小菜现在好纠结,请问到底应该用哪种语言呢?望各位大神指点!
2015-07-08 10:07:56

求一个Altera FPGA实现UART的VHDL程序

刚接触FPGA 想用FPGA实现422通讯 求大牛给一个实现UART的VHDL的程序
2013-12-05 20:40:39

VHDL硬件描述语言教学.

VHDL硬件描述语言教学:包括fpga讲义,VHDL硬件描述语言基础,VHDL语言的层次化设计的教学幻灯片
2006-03-27 23:46:4993

简易网络导纳分析

简易网络导纳分析仪以凌阳单片机SPCE
2008-04-03 13:24:2844

VHDL语言的程序结构与数据类型

[学习要求] 掌握VHDL硬件描述语言的基本语法和源文件的结构,学会用VHDL硬件描述语言设计典型数字逻辑电路。[重点与难点]重点:VHDL语言的程序结构VHDL语言的数据类型及数
2009-03-18 20:02:3547

VHDL在高速图像采集系统中的应用设计

介绍高速图像采集系统的硬件结构及工作原理, 讲述FPGA 在图像采集与数据存储部分的VHDL 模块设计, 给出采集同步模块的VHDL 源程序。
2009-04-16 10:45:5515

微机继电保护测试仪-工控机型

的一种新型小型化微机继电保护测试仪。本微机继电保护测试仪采用单机独立运行,亦可联接笔记本电脑运行的先进结构。仪器主机采用DSP+FPGA结构,真16位DAC输出、
2022-11-10 20:31:27

混合信号系统的VHDL-AMS建模与仿真分析

剖析硬件描述语言VHDL-AMS 的新特性。通过对A/D 转换器和D/A 转换器进行建模和仿真分析可以看出,VHDL-AMS 突破了VHDL 只能设计数字电路的限制,使得VHDL 可以应用于模拟以及混合信
2009-07-08 09:49:2322

FPGAVHDL快速工程实践从入门到提高

FPGA/VHDL技术是近年来计算机与电子技术领域的又一场革命。本书以AAltera公司的FPGA/CPLD为主详细介绍了FPGA、CPLD为主详细介绍了FPGA的相关知识,MAX+PLUSⅡ开发环境和VHDL语言基础,并
2009-07-11 15:06:4258

基于FPGA的双通道简易可存储示波器设计

基于FPGA的双通道简易可存储示波器设计:本文介绍了一种基于FPGA的采样速度60Mbit/s的双通道简易数字示波器设计,能够实现量程和采样频率的自动调整、数据缓存、显示以及与计算机
2009-09-29 10:45:23107

基于FPGA简易频谱分析

观测信号频谱在科研中具有重大意义,在教学实验中也有利于学生更直观深入地了解信号特征。采用单片机C8051和FPGA,外加高速A/D转换器设计一种简易的频谱分析仪。该系统主要包
2010-12-28 10:43:45101

VHDL的基本描述语句设计

实验六、VHDL的基本描述语句设计一  实验目的1掌握VHDL语言的基本结构及设计的输入方法。2掌握VHDL语言的基本描述语句的使用方法。二  实验设备
2009-03-13 19:23:571998

VHDL语言在FPGA/CPLD开发中的应用?

【摘 要】 通过设计实例详细介绍了用VHDL(VHSIC Hardware DescriptionLanguage)语言开发FPGA/CPLD的方法,以及与电路图输入和其它HDL语言相比,使用VHDL语言的优越性。
2009-05-10 19:47:301111

简易应急灯电路结构电路图

简易应急灯电路结构电路图
2009-06-11 11:25:276288

VHDL在高速图像采集系统中的应用设计

摘要:介绍高速图像采集系统的硬件结构及工作原理,讲述FPGA在图像采集与数据存储部分的VHDL模块设计,给出采集同步模块的VHDL源程序。 关键
2009-06-20 14:35:02663

基于FPGA的二次群分接器的结构分析及实现

基于FPGA的二次群分接器的结构分析及实现 1.引言   为了提高传输速率,扩大通信容量,减少信道数量,通常把多路信号复用成一路信号进行传输。在多种复
2009-12-08 09:54:42654

采用CPLD/FPGAVHDL语言电路优化原理设计

采用CPLD/FPGAVHDL语言电路优化原理设计 VHDL(Very High Speed Integrated Circuit Hardware Description Language)是IEEE工业标准硬件描述语言,是随着可编程逻辑器件(PLD)的发展而发展起
2010-03-19 11:38:022318

Verilog HDL与VHDLFPGA的比较分析

Verilog HDL与VHDLFPGA的比较分析. Verilog HDL优点:类似C语言,上手容易,灵活。大小写敏感。在写激励和建模方面有优势。
2011-01-11 10:45:291182

基于VHDLFPGA的非对称同步FIFO设计实现

本文采用VHDL描述语言,充分利用Xilinx公司Spartan II FPGA的系统资源,设计实现了一种非对称同步FIFO,它不仅提供数据缓冲,而且能进行数据总线宽度的转换。
2011-01-13 11:33:431744

基于Slice的总线宏的简易设计

针对传统的实现FPGA局部动态可重构中总线宏的设计方法比较复杂的问题,提出了一种Virtex-5 FPGA局部动态重构中基于Slice的总线宏的简易设计方法。在介绍总线宏基本原理的基础上,分析传统设计方法的复杂性,结合Virtex-5芯片的结构特点,以Xilinx的ISE9.1i和
2011-01-15 15:37:530

VHDL实用电路模块设计分析

EDA与VHDL的实用电路模块设计分析
2011-03-02 16:57:460

数字信号处理的FPGA实现_刘凌译

本书共分8章,主要内容包括典型fpga器件的介绍、vhdl硬件描述语言、fpga设计中常用软件简介、用fpga实现数字信号处理的数据规划、多种结构类型的fir数字滤波器的fpga实现、不同结构
2011-11-04 15:50:120

基于VHDL的MTM总线主模块有限状态机设计

为了能够更简洁严谨地描述MTM总线的主模块有限状态机的状态转换,同时减少FPGA芯片功耗,提高系统稳定性,文中在分析MTM总线结构和主模块有限状态机模型的基础上,基于VHDL语言采
2012-05-29 15:39:0920

altera FPGA/CPLD高级篇(VHDL源代码)

altera FPGA/CPLD高级篇(VHDL源代码)
2012-11-13 14:40:38134

基于VHDLFPGA和Nios II实例精炼(刘福奇)

本书分为4个部分:Quartus Ⅱ软件的基本操作、VHDL语法介绍、FPGA设计实例和Nios Ⅱ设计实例;总结了编者几年来的FPGA设计经验,力求给初学者或是想接触这方面知识的读者提供一种快速入
2012-11-28 11:48:12616

基于Xilinx FPGAVHDL的数字秒表设计与仿真实现

文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。
2012-12-25 11:19:246071

简易电子琴设计及FPGA功能验证

FPGA简易电子琴设计具体的模块分析和源程序,
2016-02-16 16:32:5731

VHDL数字电路设计教程之系统设计

本书共分为三个基本组成部分,首先详细介绍VHDL语言的背景知识、基本语法结构VHDL代码的编写方法;然后介绍VHDL电路单元库的结构和使用方法,以及如何将新的设计加入到现有的或自己新建立的单元
2016-04-25 17:07:530

VHDL数字电路设计教程之电路设计

本书共分为三个基本组成部分,首先详细介绍VHDL语言的背景知识、基本语法结构VHDL代码的编写方法;然后介绍VHDL电路单元库的结构和使用方法,以及如何将新的设计加入到现有的或自己新建立的单元
2016-04-25 17:07:530

VHDL实现对图像的采集和压缩

Xilinx FPGA工程例子源码:VHDL实现对图像的采集和压缩
2016-06-07 14:54:576

简易逻辑分析仪的设计用源代码

Xilinx FPGA工程例子源码:简易逻辑分析仪的设计用源代码
2016-06-07 15:07:4518

基于XILINX的XC3系列FPGA的VGA控制器的VHDL源程

Xilinx FPGA工程例子源码:基于XILINX的XC3系列FPGA的VGA控制器的VHDL源程序
2016-06-07 15:07:4512

FPGA模拟VGA时序PS_2总线的键盘接口VHDL源代码

Xilinx FPGA工程例子源码:用FPGA模拟VGA时序PS_2总线的键盘接口VHDL源代码
2016-06-07 15:11:2032

基于VHDLFPGA和Nios_II实例精炼

vhdl语法介绍FPGA设计实例nios ii设计实例北航版本
2016-07-14 17:34:1374

VHDL程序结构

VHDL程序实体--EDA资料,设计实体是VHDL语言设计的基本单元,简单的可以是一个与门,复杂的可以是一个微处理器或一个数字系统,其结构基本是一致的,都是由实体说明和结构体两部分组成。实体说明
2016-11-21 15:40:340

VHDL语言设计实体的基本结构

VHDL语言是一种在EDA设计中广泛流行的硬件描述语言,主要用于描述数字系统的结构、行为、功能和接口。除了含有许多具有硬件特征的语句外,VHDL语言的句法、语言形式和描述风格十分类似于一般的计算机高级语言,是目前硬件描述语言中应用最为广泛的一种。
2018-03-30 16:04:2721

FPGA视频教程之FPGA和CPLD与VHDL基础知识的详细资料说明

本文档的主要内容详细介绍的是FPGA视频教程之FPGA和CPLD与VHDL基础知识的详细资料说明。主要的目的是:1.VHDL入门,2.设计单元,3.体系结构建模基础,4.VHDL逻辑综合,5.层次
2019-03-20 14:35:199

FPGA视频教程之学习FPGA选择verilog还是vhdl详细资料说明

本文档的主要内容详细介绍的是FPGA视频教程之学习FPGA选择verilog还是vhdl详细资料说明。
2019-03-22 14:00:0724

使用FPGAVHDL语言进行的抢答器设计资料合集免费下载

本文档的主要内容详细介绍的是使用FPGAVHDL语言进行的抢答器设计资料合集免费下载。
2019-06-03 08:00:0019

vhdl语言怎么仿真_vhdl语言的基本结构

VHDL程序中,实体(ENTITY)和结构体(ARCHITECTURE)这两个基本结构是必须的,他们可以构成最简单的VHDL程序。通常,最简单的VHDL程序结构中还包含另一个最重要的部分,即库(LIBRARY)和程序包(PACKAGE)。
2020-04-23 15:43:384224

什么是vhdl语言_简述vhdl语言的特点

用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工
2020-04-23 15:58:4910242

VHDL的常见语法结构

一个VHDL程序代码包含实体(entity)、结构体(architecture)、配置(configuration)、程序包(package)、库(library)等。
2020-07-16 08:42:042321

采用VHDL语言在FPGA上实现WolfMCU体系结构的设计

基于以上讨论,可以看出ASIP+FPGA设计模式可以从很大程度上解决引言中提到的两个难题。为了进行更深入的研究,我们对该设计模式进行了尝试,用VHDL硬件描述语言在FPGA上实现了一个8位微处理器
2020-07-28 17:44:49562

vhdl转换为verilog_VHDL和Verilog谁更胜一筹

今天给大家分享一个VHDL和Verilog的工具。很多新手初次学习FPGA都曾遇到过一个问题:是学Verilog OR VHDL
2020-08-25 09:22:056116

使用VHDL实现简易电子琴演奏器设计的工程文件免费下载

本文档的主要内容详细介绍的是使用VHDL实现简易电子琴演奏器设计的工程文件免费下载。
2020-11-02 17:53:4826

使用FPGA驱动LCD显示中文字符年的VHDL程序

本文档的主要内容详细介绍的是使用FPGA驱动LCD显示中文字符年的VHDL程序。
2020-12-18 16:44:1410

使用FPGA实现自动售货机的VHDL程序与仿真资料

本文档的主要内容详细介绍的是使用FPGA实现自动售货机的VHDL程序与仿真资料。
2020-12-21 17:10:0023

使用FPGA实现LCD控制的VHDL程序与仿真资料免费下载

本文档的主要内容详细介绍的是使用FPGA实现LCD控制的VHDL程序与仿真资料免费下载。
2021-01-18 17:19:0810

使用FPGA实现LED控制的VHDL程序与仿真资料免费下载

本文档的主要内容详细介绍的是使用FPGA实现LED控制的VHDL程序与仿真资料免费下载。
2021-01-18 17:32:4612

FPGA Express VHDL的参考手册免费下载

FPGA Express将VHDL描述转换并优化为内部门级等效格式。然后针对给定的FPGA技术编译此格式。
2021-01-21 16:02:097

如何使用FPGA实现全并行结构FFT

提出了一种基于FPGA实现的全并行结构FFT设计方法,采用XILINX公司最新器件VirtexII Pro,用硬件描述语言VHDL和图形输入相结合的方法,在ISE6.1中完成设计的输入、综合、编译
2021-03-31 15:22:0011

基于FPGA简易频谱仪设计与实现

基于FPGA简易频谱仪设计与实现
2021-06-16 10:01:3655

关于Actel 的FPGA的译码器的VHDL源代码

关于Actel 的FPGA的译码器的VHDL源代码(通信电源技术期刊2020年第14期)-关于Actel 的FPGA的译码器的VHDL源代码。适合感兴趣的学习者学习,可以提高自己的能力,大家可以多交流哈
2021-09-16 15:18:0110

微机原理(一)——8086微机内部结构

本文章以8086微机系统为例,简要介绍微机系统的物理构成。一、结构概述8086微机系统内部分为执行单元(EU)与总线接口单元(BIU)两部分。其中执行单元由运算器、通用寄存器、标志寄存器、控制电路几部分组成。具体器件构成见下图:二、具体讲解1.执行单元数据寄存
2021-11-30 15:36:1913

FPGA 结构分析 -IO 资源

工作方式; IO串并转换资源:分析IO资源如何实现串并转换。 其中第二、三系列是对第一系列中的部分内容进行更进一步的详细描述。本篇是对于第一个系列——IO资源进行部分描述,共分为几个章节进行具体阐述。 FPGA IO资源的基本单元架构为一个个 IO tile ,下图为 IO tile 的结构
2022-12-13 13:20:061099

微机消谐装置的基本结构

在电力系统中,谐波是一个广泛存在的问题。谐波的产生不仅会降低电力系统的效率,还会对设备造成损害。因此,微机消谐装置在电力系统中扮演着重要的角色。本文将介绍微机消谐装置的基本结构微机消谐装置主要
2023-11-30 14:26:55174

已全部加载完成