电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>基于电路分割技术的查表法实现根升余弦脉冲成形滤波器FPGA设计

基于电路分割技术的查表法实现根升余弦脉冲成形滤波器FPGA设计

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

梳状滤波器以及积分梳状滤波器FPGA实现

sample rate convert 和 down sample rate convert 的FPGA实现打下基础。 1 梳状滤波器 图1 梳状滤波器结构 梳状滤波器的两端为1和-1的权值,具有简单
2020-11-21 09:57:005220

fpga实现滤波器

本帖最后由 eehome 于 2013-1-5 10:03 编辑 fpga实现滤波器在利用FPGA实现数字信号处理方面,分布式算法发挥着关键作用,与传统的乘加结构相比,具有并行处理的高效性特点
2012-08-11 18:27:41

fpga实现滤波器

fpga实现滤波器fpga实现滤波器在利用FPGA实现数字信号处理方面,分布式算法发挥着关键作用,与传统的乘加结构相比,具有并行处理的高效性特点。本文研究了一种16阶FIR滤波器FPGA设计方法
2012-08-12 11:50:16

实现FPGA数字下变频的多类滤波器分组级联技术分析

实现FPGA数字下变频的多类滤波器分组级联技术分析1 引 言 本文针对以下高效算法做了总结,进行合理的分组级联并引入流水线技术以便于在FPGA实现。数字下变频(DDC)就是通过混频、抽取和滤波
2009-10-23 10:26:53

滤波器设计与信号处理的MATLAB实现

2 步模拟滤波器的设计,我们主要学习的是巴特沃斯设计方法,因此这里以“巴特沃斯滤波器双线性变换”设计为例来阐述 IIR 滤波器的设计方法和 matlab 实现
2023-09-26 07:22:10

CIC抽取滤波器MATLAB仿真和FPGA实现

CIC抽取滤波器MATLAB仿真和FPGA实现(1)设计理想滤波器目标:1、滤波器在有效频段内纹波满足设计要求。2、抽取或内插处理后在有效频段内不产生混叠。3、滤波器实现简单,需要资源较少。这个
2021-08-17 08:27:40

EMI滤波器的设计步骤资料分享

慢慢学系列步骤总览相关资料与参考文献第一步:提取“差模噪音”与“共模噪音”所用设备电流提取差共模噪音电压提取差共模噪音第二步:查法规相关的限幅线第三步:得到EMI滤波器的目标插入损耗线步骤总览
2021-10-29 06:04:57

FTR滤波器_滤波器原理_有限脉冲响应滤波器_明德扬fpga

、频率取样型和快速卷积型。本案例实现了具有线性相位的半串行结构的FIR滤波器。一、功能描述FIR滤波器,即有限脉冲响应滤波器,顾名思义,是指单位脉冲响应的长度是有限的滤波器。而根据FIR滤波器的结构
2017-08-02 17:35:24

LTC1569IS8-7#PBF低通滤波器

。LTC1569-7 的高选择性与其通带中的线性相位相结合,使之适合于数据通信和数据采集系统中的滤波处理。此外,其余弦响应为 PAM 数据通信提供了最佳的脉冲整形。滤波器衰减为 57dB (在
2019-05-21 14:59:50

fdatool设计余弦滤波器阶数

fdatool设计余弦滤波器阶数时必须为奇数这是为什么?
2019-08-27 16:27:41

labview如何设计一个余弦FIR滤波器

问题 1:如何利用labview来设计一个余弦FIR滤波器问题2:labview中digital filter toolkit是个怎么回事?我看了一些英文的书里,经常介绍这个,但是我安装的版本里没有。
2014-01-16 17:01:20

一种性能良好的高效CIC抽取滤波器的设计

针对传统CIC抽取滤波器性能和结构存在的问题,利用一个ISOP滤波器余弦滤波器对CIC抽取滤波器的通阻带进行优化,使得CIC抽取滤波器幅频特性得到很好的改善。通过应用非递归结构和部分多相分解技术
2010-06-02 10:07:03

一阶全通滤波器正负序检测的原理和仿真

和学者的关注,越来越多的锁相环技术不断被提出,针对各种环境下的锁相环也层出不穷。通过上述仿真结果可以看出基于一阶全通滤波器正负序检测仿真的正确性和有效性。
2021-01-11 16:25:39

什么是平方根余弦成形滤波器

什么是平方根余弦成形滤波器前面介绍了符合奈奎斯特第一准则的成形滤波器以及相应的成形脉冲有无穷多个,其中常用的是余弦成形滤波器。前面介绍的余弦滤波器的传输函数是整个系统的合成传输函数 H(f
2008-05-30 15:52:20

什么是滚降系数?为什么要采用脉冲成形滤波器

的卷积;奈奎斯特脉冲可以表示为 sinc(t/T) 函数与另一个时间函数的乘积。因此,奈奎斯特滤波器以及相应的奈奎斯特脉冲为无穷多个,其中,常用的是余弦成形滤波器,如下图所示,其中 α称为滚降系数
2008-05-30 15:51:15

低通滤波器FPGA设计及仿真

主要任务:1.熟悉低通滤波器的原理及应用2.熟悉FPGA的硬件描述3.FPGA如何实现小数分频4.用MATLAB对低通滤波器的验证预期成果或目标:FPGA对低通滤波器的Verilog(或VHDL
2013-04-04 22:16:11

FPGA体系结构能够实现的并行运算

)、离散余弦变换(DCT)、小波变换、数字滤波器(有限脉冲响应(FIR)、无限脉冲响应(IIR)和自适应滤波器)以及数字上下变频。这些算法中,每一种都有一些结构性的元件可以用并行方法实现。而FPGA
2021-12-15 06:30:00

地面数字电视传输技术-在线教程二(8-13)

(t/T) 函数与另一个时间函数的乘积。因此,奈奎斯特滤波器以及相应的奈奎斯特脉冲为无穷多个,其中,常用的是余弦成形滤波器,如下图所示,其中 α称为滚降系数。从余弦的表达式和图中可以看到,当α=0
2008-05-28 14:42:46

基于FPGA水平垂直投影(字符分割实现

水平垂直投影来分割出每个特征目标,这为车牌识别提供了很好的帮助,分割出每一个字符最后对每个字符进行识别。3 基于FPGA实现水平垂直投影进行字符分割图3 基于FPGA的水平垂直投影模块如图3所示,我们在
2018-08-07 10:15:35

基于FPGA的DDC滤波器该怎么设计?

设计一般采用CIC、HB、FIR级联的形式组成。同时,由于CIC滤波器的通带性能实在太差,所以中间还要加上一级PFIR滤波器以平滑滤波器的通带性能。
2019-09-20 06:13:11

基于FPGA的FIR滤波器IP仿真实例

产生一组1000个点的余弦数据,存放在time_domain_cos.txt文件中,这组数据将作为FPGA的仿真输入激励,经过FIR滤波器进行滤波处理。clc;clear `all;closeall
2019-07-16 17:24:22

基于FPGA的FIR滤波器设计与实现

本帖最后由 eehome 于 2013-1-5 09:50 编辑 基于FPGA的FIR滤波器设计与实现   文章研究基于FPGA、采用分布式算法实现FIR滤波器的原理和方法,用
2012-08-11 15:32:34

基于FPGA的fir滤波器实现

基于FPGA的fir滤波器实现
2017-08-28 19:57:36

基于fpga的fir滤波器实现

本帖最后由 eehome 于 2013-1-5 09:50 编辑 基于fpga的fir滤波器实现
2012-08-17 16:42:33

基于中档FPGA的多相滤波器设计

的响应越好。然而这里有折衷的情况,由于大量的抽头增加了对逻辑的需求、增加了计算的复杂性,增加了功耗,以及可能引起饱和/溢出。多相技术可以用于实现滤波器,拥有与传统FIR滤波器可比的结果,而且使用了较少
2019-07-08 08:01:03

如何利用3G移动通信中脉冲成形FIR滤波器实现ASIC?

信号处理算法与结构的设计、发展都集成在一块小的芯片上。?数字滤波器作为信号处理中最为常见的元件,被广泛地应用于无线通信的各个部分中。如何利用3G移动通信中脉冲成形FIR滤波器实现ASIC? 就得先明白什么是基于分布式运算(DA)结构的查表
2019-08-02 07:16:02

如何用FPGA实现滤波器的设计

滤波器FPGA中的实现FPGA实现滤波器的设计优点用FPGA来设计滤波器,不但设计简单,而且成本小,可靠性好。且无需像传统的设计芯片一样进行测试。主要优点:设计简洁。若设计有误,则只需
2021-07-30 07:03:10

如何用查表和移位实现流水灯?

如何用查表和移位实现流水灯?
2021-10-08 08:03:01

如何用中档FPGA实现多相滤波器

如何用中档FPGA实现多相滤波器
2021-04-29 06:30:57

如何用中档FPGA实现多相滤波器

),滤波器的响应越好。然而这里有折衷的情况,由于大量的抽头增加了对逻辑的需求、增加了计算的复杂性,增加了功耗,以及可能引起饱和/溢出。多相技术可以用于实现滤波器,拥有与传统FIR滤波器可比的结果,而且
2019-08-06 07:12:39

如何设计基于中档FPGA多相滤波器

),滤波器的响应越好。然而这里有折衷的情况,由于大量的抽头增加了对逻辑的需求、增加了计算的复杂性,增加了功耗,以及可能引起饱和/溢出。多相技术可以用于实现滤波器,拥有与传统FIR滤波器可比的结果,而且
2019-10-22 06:55:44

平方根余弦滤波器在simulink中模块参数怎么设置?

在qam调制中需要用平方根余弦滤波器进行脉冲成型,不知道参数怎么设置,还有如果在调制过程中加频偏,应该怎么加
2019-07-18 11:04:14

并行FIR滤波器Verilog设计

型、频率取样型、格型四种。其中最适合FPGA实现的是直接型。“直接”是指直接由卷积公式得到:由上图可知,n阶FIR滤波器就需要n个乘法器。如果设计的是线性相位FIR,则h(n)是对称的,利用对称性可以
2020-09-25 17:44:38

怎么利用FPGA实现FIR滤波器

并行流水结构FIR的原理是什么基于并行流水线结构的可重配FIR滤波器FPGA实现
2021-04-29 06:30:54

怎么在FPGA实现FIR滤波器的设计?

目前FIR滤波器的硬件实现的方式有哪几种?怎么在FPGA实现FIR滤波器的设计?
2021-05-07 06:03:13

数字滤波器的设计方法教程全解

技术指标。巴特沃斯  3、把模拟滤波器变换成数字滤波器,即把模拟滤波器的系数映射成数字滤波器的系统函数。  实现系统传递函数s域至z域映射有脉冲响应不变法和双线性映射两种方法。  (1)脉冲响应不变
2019-03-13 06:30:00

无限脉冲滤波器的设计

无限脉冲响应滤波器的设计联合matlab验证这个是详细设计步骤的报告
2014-07-10 17:10:26

模拟低通滤波器和数字有限脉冲响应滤波器有何不同

什么是奈奎斯特定理?什么是模拟低通滤波器?什么是数字有限脉冲响应 (FIR) 滤波器?模拟低通滤波器和数字有限脉冲响应滤波器有何不同?
2021-07-29 07:27:13

求一种基于FPGA分布式算法的滤波器设计的实现方案

分布式的滤波器算法是什么?一种基于FPGA分布式算法的滤波器设计实现
2021-04-29 07:13:23

求助 高斯脉冲成形滤波器

Matlab伤不起啊向各位大神求助怎么用Matlab设计一个高斯脉冲成形滤波器
2013-04-11 10:56:44

FPGA实现滤波器的设计优点有哪些?

FPGA实现滤波器的设计优点有哪些?
2021-11-05 07:59:53

用双滤波器生成扫频正弦/余弦波形

 本文分享解调、定向电路,以及其它电子应用等都常常要用到两个相差为90°的正弦波,即一个正弦波和它的余弦波。工程师们通常采用模拟滤波器产生这个相移。不过,这种方法提供的频率范围有限。使用图1中
2011-09-07 10:19:47

用窗函数设计FIR滤波器实验

与此同时,也具有一些缺点。例如:若想利用快速傅立叶变换技术进行快速卷积实现滤波器,则要求单位脉冲响应是有限列长的。此外,IIR滤波器的优异幅度响应,一般是以相位的非线性为代价的,非线性相位会引起频率色散
2009-05-12 01:47:22

请问滤波器技术是什么?

滤波器技术是什么?
2021-04-20 07:00:24

请问如何实现改进的中值滤波器的设计?

如何实现改进的中值滤波器的设计?中值滤波的基本原理是什么?中值滤波的改进算法是什么?如何实现中值滤波器硬件电路设计?
2021-04-14 06:54:35

请问怎么用滤波器实现滤除单位脉冲响应的某些频率部分

怎么用滤波器实现滤除单位脉冲响应的某些频率部分
2019-11-09 18:40:13

转【明德扬FPGA学习指南】至简设计之串行结构的FIR滤波器设计

。明德扬特开此帖,定期发布一些至简设计的资料,欢迎童鞋们学习,欢迎大伽们交流,希望能帮到大家,谢谢。一、功能描述FIR滤波器,即有限脉冲响应滤波器,顾名思义,是指单位脉冲响应的长度是有限的滤波器。而
2017-05-23 10:11:26

零基础学FPGA (二十九)滤波器开篇,线性相位FIR滤波器FPGA实现

利用matlab设计一个线性相位FIR带通滤波器,并在FPGA实现。要求:1、滤波器指标:过渡带带宽分别为100~300HZ,500~700HZ,阻带允许误差为0.02,通带允许误差为0.01,采样
2015-06-16 19:25:35

零基础学FPGA(三十) IIR数字滤波器FPGA实现笔记

注意了,毕竟matlab函数工具箱采用的是双线性变化写的,应该可以设计成各种滤波器,但是就是调不出来,网上关于IIR高通滤波器FPGA实现也几乎没有,发邮件给老师,老师也没理我,希望各位大神给点意见
2015-07-26 21:44:21

自适应LMS滤波器FPGA中的实现

本文介绍了自适应滤波器实现方法,给出了基于LMS 算法自适应滤波器FPGA 中的实现,简单介绍了这种实现方法的各个功能模块,主要包括输入信号的延时输出模块、控制模块
2009-09-14 15:51:0034

基于FPGA对称型FIR滤波器的设计与实现

基于FPGA对称型FIR滤波器的设计与实现:在基于FPGA的对称型FIR数字滤波器设计中,为了提高速度和运行效率,提出了使用线性I相位结构和加法树乘法器的方法,并利用Altera公I司的FPG
2009-09-25 15:38:3830

余弦滤波器(Root-raised cosine filt

余弦滤波器(Root-raised cosine filter) 的实现余弦滤波器(Root-raised cosinefilter) 的主要功能是把讯号与讯号之间的干扰减到最低,而我们先用MATLAB 模拟出结果,再用MAX+plusⅡ 软件里
2009-11-22 17:13:0721

数字滤波器FPGA中的实现

数字滤波器FPGA中的实现
2010-02-09 10:21:2776

LTC1569是一款滤波器

LTC®1569-6 是一款 10 阶低通滤波器,其具有线性相位和余弦幅度响应。LTC1569-6 的高选择性与其通带中的线性相位相结合,使之适合于数据通信和数据采集系统中的滤波处理。此外,其
2023-07-03 09:21:39

基于FPGA的程控滤波器设计与实现

设计一个由现场可编程门阵列(FPGA)控制的滤波器。该滤波器主要由3个模块组成:前置放大、滤波电路FPGA显示与控制电路等利用FPGA作为放大器及程控滤波器电路中继电器组的控制模
2010-07-17 18:00:0945

数字成形滤波器设计及FPGA实现

本文对数字基带信号脉冲成型滤波的应用、原理及实现进行了研究。首先介绍了数字成型滤波
2010-10-20 16:07:0458

IIR数字滤波器设计-在FPGA实现任意阶IIR数字滤波器

IIR数字滤波器设计-在FPGA实现任意阶IIR数字滤波器 摘 要:本文介绍了一种采用级联结构在FPGA实现任意阶IIR数字滤波器的方法。此
2008-01-16 09:45:392276

如何用用FPGA实现FIR滤波器

如何用用FPGA实现FIR滤波器 你接到要求用FPGA实现FIR滤波器的任务时,也许会想起在学校里所学的FIR基础知识,但是下一步该做什么呢?哪些参数是重
2009-03-30 12:25:454503

高通、低通、带通脉冲滤波器

高通、低通、带通脉冲滤波器
2009-04-15 10:34:252417

基于分布式算法和FPGA实现基带信号成形的研究

摘要: 提出了一种采用现场可编程门阵列(FPGA实现基带信号成形的FIR数字滤波器硬件电路的方案。该方案基于分布式算法的思想,利用FPGA丰富的查找表资源,从
2009-06-20 14:07:441086

CPLD基于FPGA实现FIR滤波器的研究

摘要: 针对在FPGA实现FIR滤波器的关键--乘法运算的高效实现进行了研究,给了了将乘法化为查表的DA算法,并采用这一算法设计了FIR滤波器。通过FPGA仿零点验证
2009-06-20 14:09:36677

FIR带通滤波器FPGA实现

FIR带通滤波器FPGA实现 引 言    在FPGA应用中,比较广泛而基础的就是数字滤波器。根据其单位冲激响应函数的时域特性可分为无限冲击响应(Infinite
2009-11-13 09:55:186564

基于多速率DA的根升余弦滤波器FPGA实现

基于多速率DA的根升余弦滤波器FPGA实现 0 引 言    根升余弦成形滤波器是数字信号处理中的重要部件,它能对数字信号进行成形滤波,压缩旁瓣,减少
2009-11-13 09:59:541518

基于FPGA的数字滤波器的设计与实现

基于FPGA的数字滤波器的设计与实现    在信息信号处理过程中,如对信号的过滤、检测、预测等,都要使用到滤波器,数字滤波器是数字信号处理中使用最广泛的一
2010-01-07 10:45:353475

3G移动通信中脉冲成形FIR滤波器的ASIC实现结构

3G移动通信中脉冲成形FIR滤波器的ASIC实现结构 一、引言?当今许多电信公司正密切关注着他们所致力的3G产品的研制和开发,例如移动终端、基站以及其它大量的
2010-02-25 16:48:58735

FPGA的Kalman滤波器的设计

FPGA的Kalman滤波器的设计 摘要:针对电路设计中经常碰到数据的噪声干扰现象,提出了一种Kalman滤波FPGA实现方法。该方法采用了TI公司的高精度模数转换
2010-04-13 13:32:462566

自适应Notch滤波器FPGA实现

摘要: 针对水下目标跟踪定位系统中信号的特点, 采用自适应Notch 滤波器对接收信号进行检测, 使系统在低信噪 比的情况下仍能保证较高的正确检测率。提出了用FPGA 实现Notch 滤波器的硬件电路方案, 用DDS 技术解决了 Notch 滤波器的正交参考源的输入问题, 简化
2011-02-17 16:00:1469

基于多通道Gabor小波滤波器的图像分割研究

本文讨论了目前基于Gabor滤波器的多通道方法应用于图像分割的现状,给出了Gabor滤波器进行图像分割的原理、过程、实验结果及分析。介绍了图像边缘检测、图像阈值分割的各种算法,
2012-05-04 14:29:1662

基带成形滤波器的数字设计与实现

根据基带成型滤波器的工作原理,文中设计出了一种基带成型滤波器的数字实现方案。该方案首先运用MATALB仿真工具得到信号基带成型后的仿真数据,并将仿真数据存储在FPGA中,然后通
2012-07-30 10:27:2252

基于FPGA的DDC中CIC滤波器的设计

文中基于多速率数字信号处理原理,设计了用于数字下变频技术的CIC抽取滤波器。通过分析CIC滤波器的原理及性能参数,利用MATLAB设计了符合系统要求的CIC滤波器,并通过FPGA实现了CI
2013-04-15 19:29:2871

基于FPGA的FIR滤波器设计与实现

基于FPGA的FIR滤波器设计与实现,下来看看
2016-05-10 11:49:0238

基于FPGA的32阶FIR滤波器的设计与实现

研究了一种采用FPGA实现32阶FIR滤波器硬件电路方案;讨论了窗函数的选择、滤波器的结构以及系数量化问题;研究了FIR滤波器FPGA实现,各模块的设计以及如何优化硬件资源,提高运行
2017-11-10 16:41:5715

FIR滤波器FPGA设计与实现

本文针对快速、准确选择参数符合项目要求的滤波器设计方法的目的,通过系统的介绍有限脉冲响应( Finite Impulse Response,FIR)滤波器的原理、结构形式以及几种FIR滤波器设计方法
2017-12-21 14:53:1414

基于GLCM与Gabor滤波器纹理图像分割

基于Gabor滤波器的纹理图像分割算法存在参数难以选择的问题。为此,提出一种预测图像纹理类型数与Gabor滤波器组参数的分割算法。将图像分割成大小相等的区域块,根据各类纹理特性预测Gabor滤波器
2018-03-07 14:58:441

基于FPGA的可调FIR滤波器在实际通信系统中的实现方法设计

基于灵活自适应的空口波形技术FOFDM(Filtered OFDM)是现代通信技术的研究热点,设计并实现可调FIR滤波器实现技术的核心工作之一。本文设计的基于FPGA的可调节FIR滤波器系数
2018-07-23 17:21:002372

一种基于FPGA实现SRRC滤波及多速率变换模块的方法介绍

信道的频带利用率。通信系统中采用发送端的成形滤波器和接收端的匹配滤波器共同实现余弦滤波的效果,对信号进行滤波处理。由于平方根升余弦(Square Root Raised Cosine,SRRC)具有较快的衰减特性和较好的可实现性,一般采用SRRC滤波器实现通信系统的基带成形滤波[1]。
2020-01-22 16:22:003144

如何使用FPGA实现FIR抽取滤波器的设计

FPGA实现抽取滤波器比较复杂,主要是因为在FPGA中缺乏实现乘法运算的有效结构,现在,FPGA中集成了硬件乘法器,使FPGA在数字信号处理方面有了长足的进步。本文介绍了一种采用Xilinx公司的XC2V1000实现FIR抽取滤波器的设计方法。
2020-09-25 10:44:003

基于FPGA器件FLEX10k30A实现成形滤波器的设计

,基带数字成形滤波器具有高精度、高可靠性和高灵活性等优点;同时还具有便于大规模集成、易于实现线性相位等特点。实现基带数字成形的方法很多,与传统算法相比,分布式算法可以极大地减少硬件电路的规模,提高电路的执行速度。本文采用基于分布式算法思想的时域成形方法来实现基带信号成形
2020-09-24 19:57:57868

WCDMA上下行链路中平方根升余弦滤波器的设计与实现

在数字通信中,成形滤波器的设计是通信领域中的一个基本问题。其作用是一方面对信号进行带限,另一方面减小码间干扰,因此频谱形状应满足奈奎斯特准则,频率响应要满足升余弦。本文介绍了用lagrange算子来设计WCDMA上下行链路中的成形滤波器
2020-11-28 10:21:516243

实现一组二进制数据通过根升余弦滤波器后的波形的实验工程文件

本文档的主要内容详细介绍的是实现一组二进制数据通过根升余弦滤波器后的波形的实验工程文件免费下载。
2021-03-11 17:47:0013

高精度正余弦函数的FPGA实现(打印)

高精度正余弦函数的FPGA实现(打印)实现
2021-04-27 14:14:315

FIR、IIR滤波器FPGA实现和仿真研究分析

通常根据所加的窗函数的不同,在频域所得的低通滤波器的阻带衰减也不同。常用的窗函数有矩形窗、三角窗、汉宁窗(升余弦窗)、BLACKMAN窗(二阶升余弦窗)等。
2022-12-09 09:41:471499

串行FIR滤波器MATLAB与FPGA实现

本文介绍了设计滤波器FPGA实现步骤,并结合杜勇老师的书籍中的串行FIR滤波器部分进行一步步实现硬件设计,对书中的架构做了简单的优化,并进行了仿真验证。
2023-05-24 10:56:34552

并行FIR滤波器MATLAB与FPGA实现

本文介绍了设计滤波器FPGA实现步骤,并结合杜勇老师的书籍中的并行FIR滤波器部分进行一步步实现硬件设计,对书中的架构做了复现以及解读,并进行了仿真验证。
2023-05-24 10:57:36653

已全部加载完成