电子发烧友网 > 可编程逻辑 > 正文

FPGA企业与大学生交流,产教结合助推人才培养

来源:电子发烧友网 作者:Carol Li 2018年12月11日 18:04 次阅读

导读:人才是集成电路发展的根本,除了学校教育以外,企业的参与可以帮助学生快速理解市场需求,近日在南京江北新区举行的FPGA技术创新应用高端论坛,请到了国内外知名FPGA企业Xilinx、安陆、紫光同创和中微亿芯的企业资深人士,从FPGA的市场应用、技术挑战和发展趋势等多个角度进行了分享,在场听众多数为参加第二届全国大学生FPGA创新设计邀请赛的老师和学生。

2018年12月9日,由南京集成电路产业服务中心(ICisC)主办的2018年FPGA技术创新应用高端论坛,与第二届全国大学生FPGA创新设计邀请赛颁奖典礼同期在南京江北新区ICisC人才实训基地圆满落幕。

在本届论坛上,首先由江北新区的社会事业发展局的科长薛峰介绍了江北新区的人才环境及相关政策,其次由来自Xilinx教育与创新生态的高级经理陆佳华、安路信息科技有限公司人工智能事业部的总监边立剑、紫光同创的市场总监吕喆、中微亿芯有限公司的副总经理季振凯分享了FPGA的未来发展方向。

南京江北新区软件园副主任周荣在致欢迎辞的时候表示,集成电路产业是我国当前重点发展的战略性、基础性和先导性的产业,在南京市委市政府的正确领导下,江北新区大力扩建产业生态、全力推进产业聚集,战略布局芯片设计、晶圆制造、芯片封装、成品测试及终端制造等各个环节的完整集成电路产业链,努力建设千亿级的产业基础。

图|南京江北新区软件园副主任周荣

青年大学生就业创业引领计划

南京市江北新区社会事业发展局科长薛峰重点介绍了青年大学生就业创业引领计划,青年大学生是指普通高校在校生和毕业五年内高校毕业生,以及海外留学的青年大学生。创业企业是指该学生在南京市域范围内的初创企业,且必须符合南京市青年大学生创业企业标准。

薛峰表示,该项政策主要通过零成本、零门槛来助力大学生优秀项目的落地。第一,鼓励青年大学生在江北新区创业,免收各类行政费用,取消注册资金限制;协调创业企业家和创业导师进校园、进基地、进社区开展就业创业指导;第二,组织企业免费参加各类校园招聘、社会招聘,免费发布招聘信息等,免费为求职人员提供就业岗位信息推荐就业。

图|南京市江北新区社会事业发展局科长薛峰

薛峰科长还详细介绍了优秀项目资助奖励、见习奖励技能培养、创业场地补贴、鼓励创业助推就业、引进人才生活保障、社保补贴、融资配套和落地企业配套政策。

就拿其中的创业场地补贴为例,薛峰介绍到,第一,对符合初创条件的青年大学生项目,入驻江北新区认定的大学生创业园(基地),可提供30平方米免费场地或给予场租补贴;第二,在创业载体外租用经营场地创业的,实际运营一年以上并正常纳税,可申请每年不超过1万元的租金补贴;第三,利用自有房产创业的,可申请每月300元基本运营综合补贴。(注:以上各项补贴不重复享受,最长不超过3年。)

据薛峰科长分享的数据显示,2018年1-11月,南京新区共接收青年大学生就业25742人,自主创业629人,兑现各类型创业补贴258.6万元。

FPGA优势、挑战及发展趋势探讨

首先发表演讲的是来自Xilinx教育与创新生态高级经理陆佳华,他表示,FPGA高弹性化设计优势可以帮助开发者缩短研发时间,应对物联网的多样化定制化需求。从功能上看,FPGA比较适合量大面广,定制化需求多的人工智能应用。

图|Xilinx教育与创新生态高级经理陆佳华

安路信息科技有限公司人工智能事业部总监边立剑表示,FPGA具有低时延、高能耗和高灵活性的优势,目前在端侧和云测的推断中都有用到,在云侧,Microsoft、AmazonAWS、腾讯云、阿里云和百度云陆续推出基于FPGA的云服务器和加速器。在端侧,也有企业推出FPGA智能设备,如旷视科技Face++推出了基于FPGA的全新智能人像抓拍机MegEye-C3S,深鉴科技Deephi发布了基于XilinxZynq-7020的人脸分析和识别解决方案。

在谈到FPGA在AI应用开发中的挑战时边立剑提到两点,资源配置优化和软件工具链,边立剑表示,AI运算需要大量的MAC和RAM,FPGA的硬件资源配置需要进一步优化。

紫光同创市场总监吕喆主要介绍了FPGA的应用场景和国产FPGA面临的挑战,吕喆表示,FPGA主要应用在通信、工业、医疗、汽车、数据中心、测试测量、消费类等领域,数据显示,从2016年到2023年全球FPGA在以上应用领域市场都呈现增长趋势。

图|紫光同创市场总监吕喆

在通信系统中,FPGA的应用功能主要体现在无线通信中无线回传、基带处理、无线连接功能、射频和有线通中的回传和接入网、光传输网、连接功能、数据包处理。

在汽车领域中,吕喆主要讲到FPGA的三大应用场景,一是汽车辅助驾驶(ADAS),包括前视摄像头(数据/图像的预处理,软件算法的硬件加速)和传感器融合系统(连接传感器,融合多个传感数据);二是车载信息娱乐系统(IVE),包括视频接口和I/O扩展、视频图像处理、视频格式转换、姿势和手势识别;三是电动汽车(EV),包括马达控制、电池管理、DC/DCConventer。

此外,FPGA加速器的应用场景,主要包括大数据分析、人工智能、大数据分析、音/视频转码、网络安全、金融加速和基因测序。FPGA在一些AI终端和服务器端的应用实例中显示,其功耗和性能都优于GPU

关于国产FPGA存在的挑战,吕喆主要谈到了支持方或投资方资金的持续性问题、市场与产品定位问题、FPGA产品的软件与硬件配合问题、生态环境建设问题等四个方面。比如FPGA研发周期长,需要3~7年才见回报,FPGA产品模块多且杂,应用各式各样,极其依赖软件平台等等。

中微亿芯有限公司副总经理季振凯在工程化应用上分析了FPGA的发展。他认为,云计算、人工智能、5G等新兴领域的崛起,带动了FPGA异质异构的发展。在FPGA+时代下,FPGA硬性正在向平台化发展,软件在向IP化发展,季振凯还强调了FPGA软硬件相互协调的重要性。

图|中微亿芯有限公司副总经理季振凯

在论坛现场,学生们针对自己在学习过程中出现的问题,频频向Xilinx、安陆、紫光同创和中微亿芯的资深人士进行咨询,进行分享的企业管理人员,则通过自己的经验针对性的给予指导,同时他们还表示,未来希望能够为学生提供更多的学习和交流平台。

附:第二届全国大学生FPGA创新设计邀请赛颁奖典礼精彩照片集

技术专区

下载发烧友APP

打造属于您的人脉电子圈

关注电子发烧友微信

有趣有料的资讯及技术干货

关注发烧友课堂

锁定最新课程活动及技术直播

电子发烧友观察

一线报道 · 深度观察 · 最新资讯
收藏 人收藏
分享:

评论

相关推荐

一个关于QUARTUS自带乘法核的问题

发表于 2018-12-12 15:20 39次阅读
一个关于QUARTUS自带乘法核的问题

FPGA测试结果示范

发表于 2018-12-12 14:17 24次阅读
FPGA测试结果示范

FPGA测试程序代码示范

发表于 2018-12-12 14:11 24次阅读
FPGA测试程序代码示范

基于FPGA的双口RAM与PCI9O52接口设计

发表于 2018-12-12 10:27 82次阅读
基于FPGA的双口RAM与PCI9O52接口设计

请问AD9220在用FPGA控制时是不是可以直接用PLL产生的10M时钟就可以?

发表于 2018-12-12 09:10 28次阅读
请问AD9220在用FPGA控制时是不是可以直接用PLL产生的10M时钟就可以?

AD9211输出波形问题

发表于 2018-12-11 18:01 14次阅读
AD9211输出波形问题

AD9211接FPGA输出波形问题

发表于 2018-12-11 12:34 129次阅读
AD9211接FPGA输出波形问题

FPGA中SRAM的读写控制原理

发表于 2018-12-11 10:14 72次阅读
FPGA中SRAM的读写控制原理

FPGA的视频图像数据采集程序如何实现

发表于 2018-12-11 09:47 63次阅读
FPGA的视频图像数据采集程序如何实现

请问如何用FPGA采集出AD9674的数据

发表于 2018-12-11 09:07 78次阅读
请问如何用FPGA采集出AD9674的数据

Achronix推出其第四代嵌入式FPGA产品

Achronix半导体公司推出其第四代嵌入式FPGA产品Speedcore Gen4 eFPGA I...

发表于 2018-12-10 17:28 73次阅读
Achronix推出其第四代嵌入式FPGA产品

怎么监控FPGA内部的温度和电压变化情况

打开例程工程后,我们可以查看工程源代码和仿真TestBench来学习,对工程进行更详细的研究和IP核...

发表于 2018-12-10 11:33 235次阅读
怎么监控FPGA内部的温度和电压变化情况

Xilinx A7芯片内部独立于逻辑单元的专用存...

本篇主要总结的是块状Memory(Block Memory),实际上就是FPGA内部独立于逻辑单元的...

发表于 2018-12-08 11:05 68次阅读
Xilinx A7芯片内部独立于逻辑单元的专用存...

基于AXI总线的未知信号频率测量

这一节我们实现一个稍微复杂一点的功能——测量未知信号的频率,PS和PL通过AXI总线交互数据,实现我...

发表于 2018-12-08 11:00 85次阅读
基于AXI总线的未知信号频率测量

EDA实验之在FPGA上设计一个DDS模块

在FPGA上设计一个DDS模块,在DE0 开发板上运行,在FPGA芯片内部合成出数字波形即可。

发表于 2018-12-08 09:18 99次阅读
EDA实验之在FPGA上设计一个DDS模块

采用LED光通信技术实现温度实时显示系统的设计

LED光通信,就是用LED光来实现无线通信,主要是靠发光二极管(LED)发出的高速亮灭闪烁信号来传输...

发表于 2018-12-08 08:21 104次阅读
采用LED光通信技术实现温度实时显示系统的设计

从一个FPGA厂商转变为一个提供系统设计的厂商 ...

如今随着芯片工艺的演进,一方面我们看到芯片尺寸越来越小,性能越来越提升,另一方面集成度也在不断提高。...

发表于 2018-12-07 17:02 192次阅读
从一个FPGA厂商转变为一个提供系统设计的厂商 ...

如果能在SoC中嵌入一个FPGA核心 那么芯片将...

人工智能是当下最火爆的话题。据说,与人工智能相关的市场规模十分巨大,是继PC市场、移动互联网市场之后...

发表于 2018-12-07 13:36 131次阅读
如果能在SoC中嵌入一个FPGA核心 那么芯片将...

Microsemi Corporation推出了...

2018年12月5日—— 在5G、机器学习和物联网(IoT)联合推动的新计算时代,嵌入式开发人员需要...

发表于 2018-12-06 16:27 163次阅读
Microsemi Corporation推出了...

Xilinx推出可扩展处理平台架构 设计人员可同...

无论是汽车驾驶辅助、智能视频监控、工业自动化、航天与国防或是无线通信等终端应用,功能的日益复杂使得嵌...

发表于 2018-12-06 16:24 81次阅读
Xilinx推出可扩展处理平台架构 设计人员可同...

AI芯片如果有罗马大道 必定归功可重构计算

在芯片架构设计领域中,可重构计算技术并非一项新的存在。20世纪60年代末,加利福尼亚大学的Gerai...

发表于 2018-12-06 08:44 752次阅读
AI芯片如果有罗马大道 必定归功可重构计算

FPGA如何实现30倍的高性能计算

FPGA(Field Programmable Gate Array)现场可编程门阵列,作为AS...

发表于 2018-12-06 08:36 285次阅读
FPGA如何实现30倍的高性能计算

站在FPGA的肩膀上 AI可重构芯片诞生

在芯片架构设计领域中,可重构计算技术并非一项新的存在。20世纪60年代末,加利福尼亚大学的Gerai...

发表于 2018-12-03 10:09 555次阅读
站在FPGA的肩膀上 AI可重构芯片诞生

基于闪存FPGA的门阵列为设计带来更多灵活性

另一方面,在基于闪存的FPGA中,配置模式保存在芯片上的非易失性存储器单元,甚至电源被移除时,闪存单...

发表于 2018-12-02 10:24 609次阅读
基于闪存FPGA的门阵列为设计带来更多灵活性

赛灵思推出全新系列的产品类型ACAP 媲美CPU...

当博通收购高通案遭到美国总统特朗普的阻止后,下一个被华尔街分析师看准的“目标”便锁定在圣何塞芯片厂商...

发表于 2018-12-01 10:47 523次阅读
赛灵思推出全新系列的产品类型ACAP 媲美CPU...

xilinx FPGA bit 文件加密

当你的项目终于做完了,到了发布的关键节点,为了防止自己的心血被别人利用,最好对产品进行bit加密。 ...

发表于 2018-12-01 09:33 254次阅读
xilinx FPGA bit 文件加密

赛灵思官方博客本周主打:云端时序收敛,高效可靠

Plunify Cloud 云平台为 FPGA 工程师极大地简化了使用云服务器的技术与安全要求。

发表于 2018-12-01 08:52 279次阅读
赛灵思官方博客本周主打:云端时序收敛,高效可靠

FPGA的几点重要总结

FPGA编程语言为何叫硬件描述语言? 硬件即FPGA硬件,硬件描述语言,也就自然地告诉...

发表于 2018-12-01 08:30 346次阅读
FPGA的几点重要总结

Achronix半导体全面对接Speedcore...

该组项目将使研究机构和公司能够使用Achronix高性能Speedcore eFPGA技术快速构建低...

发表于 2018-12-01 08:25 336次阅读
Achronix半导体全面对接Speedcore...

紫光国微参股科技创新型企业

11月27日,紫光国微在互动平台表示,科创板是科技型创新企业对接资本市场的良好渠道,公司也在关注具体...

发表于 2018-11-30 15:36 477次阅读
紫光国微参股科技创新型企业

Virtex-7 XV485T的演示介绍

观看Xilinx 28nm FPGA系列中第二款器件的演示 - 高性能Virtex-7 XV485T...

发表于 2018-11-30 06:26 112次阅读
Virtex-7 XV485T的演示介绍

Omnitek图像处理与分析

图像处理与分析:UltraXR 4K波形监视器/ VectorScope和Ultra 4k工具箱

发表于 2018-11-30 06:25 106次阅读
Omnitek图像处理与分析

Kintex-7 FPGA连接DDR3存储器的接...

这展示了DDR3内存的Kintex-7 FPGA接口功能。

发表于 2018-11-30 06:23 147次阅读
Kintex-7 FPGA连接DDR3存储器的接...

多模式数字控制,性能大升级

英特尔® Enpirion® 数字 PowerSoC 经过精心的定义、设计和验证,可满足 FPGA、...

发表于 2018-11-29 14:44 258次阅读
多模式数字控制,性能大升级

华为携手赛灵思 展示400G以太网线卡原型

北京时间3月21日下午消息,一场由网络供应商华为和芯片制造商赛灵思(Xilinx)上周进行的展示,标...

发表于 2018-11-28 16:13 290次阅读
华为携手赛灵思 展示400G以太网线卡原型

ARM崛起和后Altera时代 赛灵思的未来之路...

英特尔和Altera传出收购消息以后,分分合合好几次。导致我买的股票上涨了5美元,我奖励自己吃了一顿...

发表于 2018-11-28 16:05 646次阅读
ARM崛起和后Altera时代 赛灵思的未来之路...

GPU跃升为领头羊 以FPGA为主的英特尔也加紧...

人工智能(AI)热潮持续攀升,AI晶片的竞争也日趋激烈,而GPU近年来可说是跃升为AI晶片领头羊。为...

发表于 2018-11-27 16:37 121次阅读
GPU跃升为领头羊 以FPGA为主的英特尔也加紧...

浅谈运动控制卡的功能及使用

关于运动控制卡,其实现基于PC的界面,强大的PC功能,两者相互结合,从而使得于东控制器的能力达到了顶...

发表于 2018-11-27 14:30 450次阅读
浅谈运动控制卡的功能及使用

Micron和Achronix提供下一代FPGA...

2018年11月-MicronTechnology,Inc.(纳斯达克交易代码:MU)日前宣布推出其...

发表于 2018-11-26 17:32 205次阅读
Micron和Achronix提供下一代FPGA...

蜂鸟FPGA开发板及蜂鸟JTAG下载器讲解说明

perips目录主要用于存放各种外设(Peripherals)模块的Verilog RTL代码,譬如...

发表于 2018-11-26 17:23 408次阅读
蜂鸟FPGA开发板及蜂鸟JTAG下载器讲解说明

选择合适的连接器 有以下这些方法

要让40个管脚的小脚丫FPGA核心板能够像孙猴子一样神通广大,那就得扩展啊,不仅要支持目前市场上主流...

发表于 2018-11-26 16:54 168次阅读
选择合适的连接器 有以下这些方法

Xilinx是如何引领当今主要新兴市场的发展趋势...

自托马斯爱迪生打开开关启亮第一盏电灯以来,电子行业创新的步伐就从未停止过。我们现在享受着如此众多塑造...

发表于 2018-11-26 14:13 307次阅读
Xilinx是如何引领当今主要新兴市场的发展趋势...

赛灵思FPGA成为设计人员构建卷积神经网络的首选

人工智能正在经历一场变革,这要得益于机器学习的快速进步。在机器学习领域,人们正对一类名为“深度学习”...

发表于 2018-11-26 14:08 138次阅读
赛灵思FPGA成为设计人员构建卷积神经网络的首选

摩尔定律将要谢幕 未来将是FPGA的天下

整整50年来,计算机的底层元件都遵从着“摩尔定律”:在价格不变的情况下,集成在芯片上的晶体管数量每隔...

发表于 2018-11-25 11:31 418次阅读
摩尔定律将要谢幕 未来将是FPGA的天下

中美严峻局势下 国产FPGA迎来历史性发展机遇

2018年8月23日,在今天举行的南京国际集成电路技术达摩论坛上,深圳市紫光同创电子有限公司常务副总...

发表于 2018-11-25 11:26 253次阅读
中美严峻局势下 国产FPGA迎来历史性发展机遇

赛灵思FPGA的创新赋能:全球首个无人机5G基站

在日前上海举行的首届中国国际进口博览会(进博会)上,电子发烧友在赛灵思展台上看到了全球首款无人机5G...

发表于 2018-11-23 18:08 757次阅读
赛灵思FPGA的创新赋能:全球首个无人机5G基站

在AI芯片领域 赛灵思认为FPGA芯片将是重头戏

3月19日,全球第一大FPGA厂商赛灵思公司新任总裁兼CEOVictorPeng表示,要进一步推动计...

发表于 2018-11-23 17:30 369次阅读
在AI芯片领域 赛灵思认为FPGA芯片将是重头戏

莱迪思宣布进入网络边缘计算市场的AI领域 发挥F...

根据市场调研机构Semico Research提供的数据显示,未来五年内,使用人工智能的网络边缘设备...

发表于 2018-11-23 17:25 239次阅读
莱迪思宣布进入网络边缘计算市场的AI领域 发挥F...

NVIDIA能比FPGA速度更快的处理所有与AI...

13日报导,NVIDIA Corporation虽凭借通用GPU(GPGPU)登上人工智能(AI)芯...

发表于 2018-11-22 17:20 360次阅读
NVIDIA能比FPGA速度更快的处理所有与AI...

浪潮发布集成HBM2的FPGA AI加速卡F37...

美国当地时间11月14日,在达拉斯举行的全球超算大会SC18上,浪潮发布集成HBM2高速缓存的FPG...

发表于 2018-11-22 17:15 261次阅读
浪潮发布集成HBM2的FPGA AI加速卡F37...

Xilinx UltraScale+ FPGA和...

从19到32Gb / s的互连宽度正在迅速扩大。 了解Xilinx UltraScale +™FP...

发表于 2018-11-22 07:21 194次阅读
Xilinx UltraScale+ FPGA和...

如何使用Vivado Device Progra...

了解如何使用Vivado Device Programmer创建和配置配置存储设备。 首先,我们将...

发表于 2018-11-22 07:11 231次阅读
如何使用Vivado Device Progra...

Xilinx 16nm UltraScale+系...

赛灵思率先发布业界首款16nm产品,Xilinx 16nm UltraScale +系列产品(FPG...

发表于 2018-11-22 06:49 216次阅读
Xilinx 16nm UltraScale+系...

Beyond 100G标准的灵活性和可扩展性

演示说明了新兴的Beyond 100G(B100G)标准的灵活性和可扩展性,以及现有100G标准的支...

发表于 2018-11-22 06:43 185次阅读
Beyond 100G标准的灵活性和可扩展性

Avnet Spartan-6 FPGA DSP...

Xilinx Spartan-6 FPGA DSP套件支持所有主流DSP设计输入方法,加速有经验用户...

发表于 2018-11-22 06:40 241次阅读
Avnet Spartan-6 FPGA DSP...

利用UltraScale+ FPGA加速DSP的...

负责在当今复杂的DSP应用中提供更多功能和性能的设计人员越来越多地转向其硬件解决方案的可编程逻辑。

发表于 2018-11-22 06:34 277次阅读
利用UltraScale+ FPGA加速DSP的...

Xilinx Virtex-6 FPGA的PCI...

Virtex®-6 FPGA内置支持PCIExpress®Gen2兼容接口。 本视频介绍了在ML6...

发表于 2018-11-22 06:30 205次阅读
Xilinx Virtex-6 FPGA的PCI...

用于开发高性能信号处理应用的Kintex-7 F...

与Avnet Electronics Marketing共同开发的带有高速模拟的Kintex-7 F...

发表于 2018-11-22 06:29 268次阅读
用于开发高性能信号处理应用的Kintex-7 F...

Spartan-6 FPGA工业以太网套件的优点...

使用与Avnet联合开发的Spartan-6 FPGA工业以太网套件加速您的下一代工厂自动化设计。 ...

发表于 2018-11-22 06:28 348次阅读
Spartan-6 FPGA工业以太网套件的优点...

Virtex-7 2000T GTX收发器实现高...

通过Virtex-7 2000T FPGA中的GTX收发器实现高速串行性能。

发表于 2018-11-22 06:27 263次阅读
Virtex-7 2000T GTX收发器实现高...

基于Zynq-7045的全新Mercury ZX...

经过认证的赛灵思联盟成员Enclustra宣布推出基于Zynq-7045的全新Mercury ZX1...

发表于 2018-11-22 06:26 239次阅读
基于Zynq-7045的全新Mercury ZX...

Xilinx 7系列模拟混合信号评估平台演示

嵌入式世界2012的Xilinx 7系列模拟混合信号评估平台演示

发表于 2018-11-22 06:24 235次阅读
Xilinx 7系列模拟混合信号评估平台演示

Xilinx Virtex UltraScale...

该视频显示了世界上最大,最快的HBM启动FPGA在芯片启动的第一天内无错运行。

发表于 2018-11-22 06:22 265次阅读
Xilinx Virtex UltraScale...

基于Xilinx全可编程FPGA的AWS F1实...

采用基于Xilinx全可编程FPGA的AWS F1实例,Edico Genome可帮助更广泛的用户群...

发表于 2018-11-22 06:19 279次阅读
基于Xilinx全可编程FPGA的AWS F1实...

Spartan-6 FPGA HDL编码技术的特...

了解如何对寄存器资源进行编码,以便您的设计具有更少的控制集并以更高的系统速度运行,避免最常见的编码错...

发表于 2018-11-22 06:11 251次阅读
Spartan-6 FPGA HDL编码技术的特...

Spartan-6 FPGA中的DCM功能介绍

了解如何描述Spartan-6 FPGA中的全局和I / O时钟网络,描述时钟缓冲器及其与I / O...

发表于 2018-11-22 06:10 269次阅读
Spartan-6 FPGA中的DCM功能介绍

XDF 2018:如何降低FPGA的成本

reconfigure.io的Rob Taylor在法兰克福的XDF 2018云轨道中展示了一个用例...

发表于 2018-11-22 06:08 236次阅读
XDF 2018:如何降低FPGA的成本

NGCodec H.265/HEVC视频压缩技术...

NGCodec开发下一代视频压缩技术,专为超低延时,高质量应用而优化使用Xilinx FPGA,NG...

发表于 2018-11-22 06:06 319次阅读
NGCodec H.265/HEVC视频压缩技术...

Virtex-7 H580T FPGA的演示

Virtex-7 H580T是全球首款全可编程异构3D FPGA,具有最高速度的低抖动28Gbps收...

发表于 2018-11-22 05:55 356次阅读
Virtex-7 H580T FPGA的演示

如何在UltraScale+设计中使用Ultra...

了解如何在UltraScale +设计中包含新的UltraRAM模块。 该视频演示了如何在Ultr...

发表于 2018-11-22 05:50 300次阅读
如何在UltraScale+设计中使用Ultra...

TMP411 ±1°C Programmable...

TMP411设备是一个带有内置本地温度传感器的远程温度传感器监视器。远程温度传感器,二极管连接的晶体管通常是低成本,NPN或PNP型晶体管或二极管,是微控制器,微处理器或FPGA的组成部分。 远程精度为±1 °C适用于多个设备制造商,无需校准。双线串行接口接受SMBus写字节,读字节,发送字节和接收字节命令,以设置报警阈值和读取温度数据。 TMP411器件中包含的功能包括:串联电阻取消,可编程非理想因子,可编程分辨率,可编程阈值限制,用户定义的偏移寄存器,用于最大精度,最小和最大温度监视器,宽远程温度测量范围(高达150°C),二极管故障检测和温度警报功能。 TMP411器件采用VSSOP-8和SOIC-8封装。 特性 ±1°C远程二极管传感器 ±1°C本地温度传感器 可编程非理想因素 串联电阻取消 警报功能 系统校准的偏移寄存器 与ADT7461和ADM1032兼容的引脚和寄存器 可编程分辨率:9至12位 可编程阈值限...

发表于 2018-09-19 16:35 8次阅读
TMP411 ±1°C Programmable...

TMP468 具有引脚可编程的总线地址的高精度远...

TMP468器件是一款使用双线制SMBus或I 2 C兼容接口的多区域高精度低功耗温度传感器。除了本地温度外,还可以同时监控多达八个连接远程二极管的温度区域。聚合系统中的温度测量可通过缩小保护频带提升性能,并且可以降低电路板复杂程度。典型用例为监测服务器和电信设备等复杂系统中不同处理器(如MCU,GPU和FPGA)的温度。该器件将诸如串联电阻抵消,可编程非理想性因子,可编程偏移和可编程温度限值等高级特性完美结合,提供了一套精度和抗扰度更高且稳健耐用的温度监控解决方案。 八个远程通道(以及本地通道)均可独立编程,设定两个在测量位置的相应温度超出对应值时触发的阈值。此外,还可通过可编程迟滞设置避免阈值持续切换。 TMP468器件可提供高测量精度(0.75°C)和测量分辨率(0.0 625°C)。该器件还支持低电压轨(1.7V至3.6V)和通用双线制接口,采用高空间利用率的小型封装(3mm×3mm或1.6mm×1.6mm),可在计算系统中轻松集成。远程结支持-55°C至+ 150°C的温度范围。 特性 8通道远程二极管温度传感器精度:±0.75&...

发表于 2018-09-18 16:05 6次阅读
TMP468 具有引脚可编程的总线地址的高精度远...