电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>基于ASIC和VHDL语言实现成/解帧电路的设计

基于ASIC和VHDL语言实现成/解帧电路的设计

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

C语言实现:见缝插针游戏!代码思路+源码分享

见缝插圆我们昨天已经用C语言实现了,今天将实现一个见缝插针的游戏。
2022-12-05 11:02:12463

VHDL语言实现数字电压表

,举例说明了利用VHDL语言实现数字系统的过程。  整个数字电压表的硬件结构如图1所示。  工作时,系统按一定的速率采集输入的模拟电压,经ADC0804转换为8位数字量,此8位数字量经FPGA处理
2012-10-26 15:46:00

VHDL怎么实现减法运算?

请教大家怎么用VHDL语言实现减法运算?在FPGA设计时又该怎么操作呢?
2012-05-17 20:07:12

vhdl实现16进制数与bcd的互相转化?

求助各位大神如何把一个十六进制的数转化成相应的bcd码,又如何从bcd码转化成十六进制 这个用vhdl语言实现?谢谢
2013-05-31 10:29:33

vhdl语言

如何用VHDL 语言实现右移位啊?求大神帮看看为什么实现不了右移位?library ieee;use ieee.std_logic_1164.all;use
2016-05-28 15:46:38

vhdl语言实例大全下载

vhdl语言实例大全下载 
2008-05-20 09:36:01

vhdl是什么

超高速集成电路硬件描述语言,主要是应用在数字电路的设计中。它在中国的应用多数是用在FPGA/CPLD/EPLD的设计中。当然在一些实力较为雄厚的单位,它也被用来设计ASICVHDL主要用于描述数字系统的结构
2015-09-30 13:48:29

ADUC7061如何使用C语言实现EEPROM功能?

我使用ADUC7061做的信号采集,现在客户需要实现EEPROM功能来保存3-5个数据,请问如何使用C语言实现?不使用外部EEPROM 专用IC。
2024-01-12 06:56:45

C++语言实现火车排序功能.doc

C++语言实现火车排序功能.doc
2017-08-05 22:01:19

C语言实现FFT(快速傅里叶变换)

C语言实现FFT(快速傅里叶变换)
2013-10-25 21:33:41

C语言实现常用排序算法是什么?

C语言实现常用排序算法是什么?
2021-10-19 06:41:46

C语言实现数字信号处理算法

C语言实现数字信号处理算法
2012-08-16 23:17:38

C语言实现的泛型函数swap()

C语言实现的泛型函数swap():交换两个变量中的数据.
2022-01-20 07:10:47

CRC算法和c语言实现

CRC算法和c语言实现
2012-08-20 19:21:44

FPGA-VHDL

vhdl语言实现16位数据通信,求助!
2014-03-07 14:02:47

FPGA技术如何用VHDL语言实现8位RISC微处理器?

设计RISC微处理器需要遵循哪些原则?基于FPGA技术用VHDL语言实现的8位RISC微处理器
2021-04-13 06:11:51

PID控制算法的C语言实现(完整版)

PID控制算法的C语言实现(完整版)
2019-08-10 09:40:19

PID控制算法的C语言实现(完整版)

PID控制算法的C语言实现(完整版)
2020-02-06 17:08:52

PID控制算法的C语言实现(完整版)

PID控制算法的C语言实现(完整版)
2020-04-02 11:39:13

PID控制算法的C语言实现(完整版)

PID控制算法的C语言实现(完整版)
2020-05-01 11:03:55

SQL语言实现数据库记录的查询

绝大部分DBMS都支持SQL语言,LabVIEW数据库工具包实现的实质也是基于SQL语言,它为不熟悉SQL语言的用户把SQL语言封装了起来,以方便他们使用。所以,我们也可以利用SQL语言实现数据库记录的查询。
2014-07-01 21:25:32

凹槽凸轮轮廓线的解析设计及C语言实现

凹槽凸轮轮廓线的解析设计及C语言实现
2013-06-04 10:44:03

基于CPLD和FPGA的VHDL语言电路优化设计

语言进行CPLD/FPGA设计开发,Altera和Lattice已经在开发软件方面提供了基于本公司芯片的强大开发工具。但由于VHDL设计是行为级设计,所带来的问题是设计者的设计思想与电路结构相脱节,而且
2019-06-18 07:45:03

基于FPGA的图像边缘检测系统设计,用VHDL语言实现该怎么做?

不知道有没有大神做过:基于FPGA的图像边缘检测系统设计,用VHDL语言实现
2018-05-10 00:22:07

基于Proteus和C语言实现

基于Proteus和C语言实现一共四个题目,有没有人愿意尝试一下?
2021-07-14 06:20:45

如何使用VHDL硬件描述语言实现的十六路彩灯控制系统?

本文介绍应用美国ALTERA公司的MAX+PLUSⅡ平台,使用VHDL硬件描述语言实现的十六路彩灯控制系统。
2021-04-19 07:43:57

如何使用C语言实现模糊PID控制?

如何使用C语言实现模糊PID控制?
2021-09-24 08:54:18

如何利用VHDL语言实现FPGA与单片机的串口异步通信电路

本文介绍利用VHDL语言实现 FPGA与单片机的串口异步通信电路
2021-04-29 06:34:57

如何利用现成FPGA开发板进行ASIC原型开发?

ASIC验证能够采用的主要技术是什么?如何利用现成FPGA开发板进行ASIC原型开发?
2021-05-08 07:51:04

如何利用FPGA和VHDL语言实现PCM码的解调?

利用现场可编程门阵列(FPGA)和VHDL 语言实现了PCM码的解调,这样在不改变硬件电路的情况下,能够适应PCM码传输速率和结构变化,从而正确解调数据。
2021-05-07 06:58:37

如何利用c语言实现中文“大”字的显示?

如何利用c语言实现中文“大”字的显示?
2021-11-02 06:25:39

如何用VHDL语言实现同步的设计?

同步是什么工作原理?如何用VHDL语言实现同步的设计?
2021-04-08 06:33:59

如何用VHDL语言实现电路图逻辑关系

关于用QuartusⅡ软件实现编程调试,用VHDL语言描述该逻辑关系。多次尝试编写,并不能准确描述逻辑关系,以及进行编程调试,对于vhdl语言不能准确应用,想请教一下结构体的相关逻辑语言
2022-05-04 12:21:32

如何用C语言实现OOP编程?

老大看到OOP编程很好,就让我学,怎么用C语言实现OOP编程的,请大侠指点
2019-10-30 03:45:28

如何用C语言实现面向对象编程

1 用C语言实现面向对象编程GOF的《设计模式》一书的副标题叫做“可复用面向对象软件的基础”,从标题就能看出面向对象是设计模式基本思想。由于C语言并不是面向对象的语言,C语言没有直接提供封装、继承
2021-07-12 07:24:18

如何设计一个E1成/器?

本文描述了E1 的基本结构,详细介绍了E1 基本和CRC 复同步的算法,采用VHDL 语言对符 合G.704 和G.706 标准的成/电路进行了RTL 描述,并最终得到ASIC 实现
2021-04-27 06:11:42

小白求助,求基于Proteus和C语言实现的程序和仿真

小白求助,求基于Proteus和C语言实现的程序和仿真
2021-10-19 06:20:34

应用VHDL语言的FFT算法实现

应用VHDL语言的FFT算法实现
2012-08-20 20:17:57

怎么设计优化VHDL语言电路

什么是VHDLVHDL(Very High Speed Integrated Circuit Hardware Description Language)是IEEE工业标准硬件描述语言,是随着
2019-08-08 07:08:00

求助:如何用C语言实现直接寻址

如何用C语言实现直接寻址,就像汇编里面的mov 0x80,0x60
2014-05-13 16:39:17

用verilog语言实现电子钟

各位大神求救啊用verilog语言实现电子钟
2014-05-04 16:37:51

请问一下异步FIFO的VHDL实现方法

本文讨论了在ASIC设计中数据在不同时钟之间传递数据所产生的亚稳态问题,并提出了一种新的异步FIFO的设计方法,并用VHDL语言进行描述,利用Altera公司的Cyclone系列的EP1C6进行硬件实现,该电路软件仿真和硬件实现已经通过验证,并应用到各种电路中。
2021-04-29 06:54:00

转:件演奏电路设计的实现(有完整的VHDL代码)

VHDL语言实现乐曲演奏电路本程序是用VHDL对《梁祝协奏曲》中《化蝶》部分的乐曲电路实现
2011-08-18 10:31:53

VHDL语言描述数字系统

VHDL语言描述数字系统:本章介绍用 VHDL 描述硬件电路的一些基本手段和基本方法。   VHDL 语言是美国国防部在 20 世纪 80 年代初为实现其高速集成电路计划(VHSIC)而提出的
2009-09-01 09:02:4037

CRC算法原理及C语言实现

CRC算法原理及C语言实现:本文从理论上推导出CRC 算法实现原理,给出三种分别适应不同计算机或微控制器硬件环境的C 语言程序。读者更能根据本算法原理,用不同的语言编写出独特
2009-09-23 23:38:5031

VHDL 语言程序的元素

VHDL 语言程序的元素:本章主要内容:􀁺VHDL语言的对象􀁺VHDL语言的数据类型􀁺VHDL语言的运算符􀁺VHDL语言的标识符􀁺VHDL语言的词法单元
2009-09-28 14:32:2141

用JAVA语言实现RSA公钥密码算法

用JAVA语言实现RSA公钥密码算法:本文阐述了公开密钥密码体制RSA算法的原理及实现技术。并在此基础上,给出了JAVA语言实现的RSA算法源代码。关键词:ILSA体制;公钥;密钥
2010-02-10 10:27:1558

VHDL密码控制系统的设计

阐述密码控制设计的基本原理。介绍了VHDL语言的特点以及基本的语法结构。在MAX+plusⅡ开发软件环境下,利用VHDL硬件描述语言实现密码控制系统设计,并对其系统各个模块进行仿真
2010-12-16 16:10:370

VHDL语言实现3分频电路

VHDL语言实现3分频电路 标签/分类: 众所周知,分频器是FPGA设计中使用频率非常高的基本设计之一,尽管在目前大部分设计中,广泛使用芯片厂家集成的锁相
2007-08-21 15:28:165527

用C语言实现FFT算法

用C语言实现FFT算法 /*****************fft programe*********************/#include "typedef.h" #include "math.h" struct compx EE(struct compx
2008-10-30 13:39:566179

VHDL语言的组合电路设计

实验八、VHDL语言的组合电路设计一  实验目的1掌握VHDL语言的基本结构及设计的输入方法。2掌握VHDL语言的组合电路设计方法。二  实验设备与仪器
2009-03-13 19:26:582368

VHDL语言在FPGA/CPLD开发中的应用?

【摘 要】 通过设计实例详细介绍了用VHDL(VHSIC Hardware DescriptionLanguage)语言开发FPGA/CPLD的方法,以及与电路图输入和其它HDL语言相比,使用VHDL语言的优越性。
2009-05-10 19:47:301111

VHDL语言实现3分频电路(占空比为2比1)

VHDL语言实现3分频电路(占空比为2比1) 分频器是FPGA设计中使用频率非常高的基本设计之一,尽管在目前大部分设计中,广泛使用芯片厂家集成的锁
2009-06-22 07:46:337831

Verilog HDL语言实现时序逻辑电路

Verilog HDL语言实现时序逻辑电路 在Verilog HDL语言中,时序逻辑电路使用always语句块来实现。例如,实现一个带有异步复位信号的D触发器
2010-02-08 11:46:434468

采用CPLD/FPGA的VHDL语言电路优化原理设计

采用CPLD/FPGA的VHDL语言电路优化原理设计 VHDL(Very High Speed Integrated Circuit Hardware Description Language)是IEEE工业标准硬件描述语言,是随着可编程逻辑器件(PLD)的发展而发展起
2010-03-19 11:38:022318

VHDL语言在状态机电路中的设计

简要介绍了 VHDL 语言进行工程设计的优点,并详细说明了利用VHDL语言设计状态机电电路的过程,最后进行了仿真,仿真结果证明该设计能够实现状态机电路的功能。
2011-07-18 10:31:2083

基于CPLD的VHDL语言数字钟(含秒表)设计

利用一块芯片完成除时钟源、按键、扬声器和显示器(数码管)之外的所有数字电路功能。所有数字逻辑功能都在CPLD器件上用VHDL语言实现。这样设计具有体积小、设计周期短(设计过
2011-09-27 15:08:56366

51系列单片机中模拟串行口的C语言实现_栗小宽

5 1 系列单片机中模拟串行口的C 语言实现.pdf
2015-10-29 11:34:194

DSP算法的c语言实现

DSP算法的c语言实现,又需要的朋友下来看看。
2016-05-09 10:59:260

FM收音机的解码及控制器VHDL语言实现

Xilinx FPGA工程例子源码:FM收音机的解码及控制器VHDL语言实现
2016-06-07 14:13:4311

卡尔曼滤波算法C语言实现

卡尔曼滤波算法C语言实现 可以运行STM32 和 arduino上 已测试成功
2016-09-27 16:34:1667

PID控制算法的C语言实现(完整版)

PID控制算法的C语言实现一 PID算法原理
2016-11-05 15:45:140

C++语言实现火车排序功能

C++语言实现火车排序功能
2017-01-05 11:27:102

关于通过FPGA中VHDL语言实现ALU的功能设计详解

目前许多FPGA的逻辑资源(LE)都已超过1万门,使得片上可编程系统SOPC已经成为可能。算术逻辑单元ALU应用广泛,是片上可编程系统不可或缺的一部分。利用VHDL语言在FPGA芯片上设计ALU的研究较少,文中选用FPGA来设计32位算术逻辑单元ALU,通过VHDL语言实现ALU的功能。
2018-07-22 11:22:006949

硬件描述语言VHDL优点及缺点

1987年, VHDL被正式确定为IEEE 1076标准。 VHDL是一种强类型语言, 具有丰富的表达能力, 可使各种复杂度(系统级、 电路板级、 芯片级、 门级)的电路网络在同一抽象程度上被描述
2018-03-30 11:20:159

4个重要算法C语言实现源代码

4个重要算法C语言实现源代码
2018-06-10 08:00:0012

使用C语言实现静态网页的代码免费下载

本文档的主要内容详细介绍的是使用C语言实现静态网页的代码免费下载。
2019-11-22 16:20:152

使用C++语言实现的解题的实例说明

本文档的主要内容详细介绍的是使用C++语言实现的解题的实例说明。
2020-04-21 11:50:456

vhdl语言的操作符_vhdl语言有什么用

VHDL是一种用来描述数字逻辑系统的“编程语言”。它通过对硬件行为的直接描述来实现对硬件的物理实现,代表了当今硬件设计的发展方向。VHDL是为了满足逻辑设计过程中的各种需求而设计的。
2020-04-23 15:51:032362

什么是vhdl语言_简述vhdl语言的特点

什么是vhdl语言 VHDL 的英文全名是VHSIC Hardware Description Language(VHSIC硬件描述语言)。VHSIC是Very High Speed
2020-04-23 15:58:4910242

使用Quartus和VHDL语言实现的LPC时序的工程文件

本文档的主要内容详细介绍的是使用Quartus和VHDL语言实现的LPC时序的工程文件免费下载。
2020-09-18 16:49:0020

基于VHDL硬件描述语言实现CPSK调制的程序及仿真

本文档的主要内容详细介绍的是基于VHDL硬件描述语言实现CPSK调制的程序及仿真。
2021-01-19 14:34:1511

使用VHDL硬件描述语言实现基带信号的MASK调制的程序与仿真

本文档的主要内容详细介绍的是使用VHDL硬件描述语言实现基带信号的MASK调制的程序与仿真。
2021-01-19 14:34:1713

使用VHDL硬件描述语言实现基带信号的MFSK调制的程序与仿真

本文档的主要内容详细介绍的是使用VHDL硬件描述语言实现基带信号的MFSK调制的程序与仿真。
2021-01-19 14:34:194

如何使用VHDL硬件描述语言实现基带信号的MPSK调制

本文档的主要内容详细介绍的是如何使用VHDL硬件描述语言实现基带信号的MPSK调制。
2021-01-19 14:34:212

使用VHDL硬件描述语言实现基带码发生器的程序设计与仿真

本文档的主要内容详细介绍的是使用VHDL硬件描述语言实现基带码发生器的程序设计与仿真免费下载。
2021-01-20 13:44:1611

使用单片机实现串口通讯的C语言实验文件

本文档的主要内容详细介绍的是使用单片机实现串口通讯的C语言实验文件
2021-03-25 14:53:245

深度解读VHDL语言的卷积码和Viterbi译码的实现

介绍并用VHDL语言实现了卷积编码和维特比译码。根据编码器特征设计了一种具有针对性的简洁的维特比译码器结构,
2021-05-12 15:22:412112

CRC校验算法原理及c语言实现

CRC校验算法原理及c语言实现
2021-11-30 10:04:078

累加校验和C语言实现

累加校验和C语言实现
2021-11-29 18:06:1110

怎么用C语言实现多态

这里我想主要介绍下在C语言中是如何实现的面向对象。知道了C语言实现面向对象的方式,我们再联想下,C++中的class的运行原理是什么?
2022-10-12 09:12:271578

C语言实现《别碰白块》小游戏!全部代码+思路注释

今天我们将用C语言实现一个小球跳跃躲避方块的游戏。
2022-12-08 09:06:34702

基于VHDL语言实现远程防盗报警设计

电子发烧友网站提供《基于VHDL语言实现远程防盗报警设计.pdf》资料免费下载
2023-11-08 14:33:110

已全部加载完成