电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>业界新闻>行业新闻>英特尔和台积电掀起半导体产业18英寸晶圆大战

英特尔和台积电掀起半导体产业18英寸晶圆大战

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

英特尔CFO承诺维持与台积电合作,将在18A节点获得少量代工订单

据3月15日消息,在摩根士丹利TMT会上,英特尔CFO辛斯纳透露,英特尔将继续作为台积电的客户,希望能在18A节点获得少量代工订单。谈及公司当前依赖外部代工厂的程度,辛斯纳坦言比预想中的更甚。
2024-03-15 14:39:30318

半导体发展的四个时代

的 Suk Lee 发表了题为“摩尔定律和半导体行业的第四个时代”的主题演讲。Suk Lee表示,任何试图从半导体行业传奇而动荡的历史中发掘出一些意义的事情都会引起我的注意。正如所解释
2024-03-13 16:52:37

Ansys多物理场签核解决方案获得英特尔代工认证

Ansys的多物理场签核解决方案已经成功获得英特尔代工(Intel Foundry)的认证,这一认证使得Ansys能够支持对采用英特尔18A工艺技术设计的先进集成电路(IC)进行签核验证。18A工艺技术集成了新型RibbonFET晶体管技术和背面供电技术,代表了半导体制造领域的一项重大突破。
2024-03-11 11:25:41254

关于半导体设备

想问一下,半导体设备需要用到温度传感器的有那些设备,比如探针有没有用到,具体要求是那些,
2024-03-08 17:04:59

英特尔揽35亿美元国防订单

据消息透露,美国政府将向英特尔公司投资高达35亿美元,以支持其在军事项目中生产尖端半导体。这一决策在芯片行业激起巨大波澜,预示着英特尔在国防市场的崛起。
2024-03-08 11:20:54408

总裁魏哲家:三星和英特尔永远赶不上台

行业芯事行业资讯
深圳市浮思特科技有限公司发布于 2024-03-04 16:46:28

英特尔CEO称公司全力押注18A制程

据悉,18A制程作为英特尔推动至技术领先地位的第五个阶段,尽管未采用1.8纳米制造工艺,但宣称性能及晶体管密度均可与竞争对手的1.8纳米工艺相媲美。
2024-03-01 16:14:47133

英特尔押注18A制程,力争重回技术领先地位

据悉,18A 制程是英特尔技术引领道路上的关键阶段,虽非直接采用 1.8纳米工艺,英特尔仍自豪宣称其性能与晶体管密度媲美友商的 1.8 nm制程。
2024-02-29 15:13:29139

英特尔:2025年全球AIPC将超1亿占比20%

英特尔行业资讯
北京中科同志科技股份有限公司发布于 2024-02-29 09:15:26

英特尔1nm投产时间曝光!领先于

英特尔行业芯事
深圳市浮思特科技有限公司发布于 2024-02-28 16:28:32

英特尔18A工艺节点推广激励措施承诺

去年,英特尔CEO帕特·基辛格与多家韩国企业高层会面,详细介绍了英特尔芯片代工商的最新发展动态。据悉,英特尔正积极向韩国芯片创业公司推销18A制程,同时给予鼓励支持。
2024-02-27 14:55:10223

英特尔再创辉煌!1.4nm芯片工艺领航微电子时代,工业界的新里程碑?

英特尔行业资讯
北京中科同志科技股份有限公司发布于 2024-02-26 08:58:21

微软将使用英特尔18A技术生产芯片

微软将使用英特尔18A技术生产芯片 据外媒报道微软公司计划使用英特尔18A制造技术生产自研芯片。但是目前没有确切的消息表明微软将生产什么芯片,但是业界多估计是人工智能加速器。
2024-02-22 17:35:11356

英特尔计划筹集20亿美元扩建爱尔兰半导体工厂

英特尔公司近日宣布,计划筹集至少20亿美元股权融资,以扩大位于爱尔兰莱克斯利普的Fab 34半导体工厂的产能。此举标志着该公司对全球半导体产能布局的重要一步,并可能预示着其在先进工艺领域的进一步扩展。
2024-02-21 18:27:18590

英特尔百亿补贴让赴美芯片企业警觉

近日,英特尔公司有望获得美国政府提供的100亿美元巨额补贴的消息传出,立即在海外芯片企业中引起了广泛的不满和警觉。这一巨额补贴计划如若落实,不仅将成为美国政府推动半导体制造回归美国政策的最大手笔,更将深刻影响全球半导体产业链的布局。
2024-02-20 17:10:59604

美国拟为英特尔提供超百亿美元补贴

美国政府正在与英特尔公司进行深入谈判,计划向其提供超过100亿美元的补贴,以推动其半导体制造业的发展。这一补贴方案可能包括贷款和直接赠款等多种形式,旨在增强英特尔在全球半导体市场的竞争力。
2024-02-18 17:35:20357

英特尔登顶2023年全球半导体榜单之首

英特尔行业芯事
深圳市浮思特科技有限公司发布于 2024-02-01 11:55:16

2023年全球半导体行业收入报告:英特尔超越三星位居第一

英特尔凭借强大实力超越三星,重新夺回了全球半导体行业第一的位置。尽管其2023年营收同比下降了16%至505亿美元,市场份额微降至9.7%,但仍能领先其他竞争对手。
2024-01-31 15:32:19469

英特尔与日本NTT合作开发光电融合半导体

随着人工智能的普及,世界数据中心的功耗正在急剧增长,为了应对这一挑战,日本电信运营商NTT与美国芯片巨头英特尔宣布将共同开发一款利用“光电融合”技术的半导体
2024-01-31 15:03:46332

英特尔NovaLake采用2纳米

行业芯事行业资讯
深圳市浮思特科技有限公司发布于 2024-01-30 17:04:53

日本NTT和英特尔将共同开发下一代半导体

日本NTT公司和英特尔公司近日宣布,将与多家半导体厂商合作,共同开展新一代“光电融合”半导体的技术合作和批量生产。据悉,日本政府将为这一项目提供450亿日元(约合人民币22亿元)的支援。
2024-01-30 10:17:33211

英特尔实现先进半导体封装技术芯片的大规模生产

当前,由于整个半导体产业步入将多个‘芯粒’(Chiplets)整合于单一封装的新世代,芬柯斯(Foveros)与 EMIB(嵌入式多芯片互联桥接)等英特尔先进封装技术应运而生。
2024-01-25 14:47:14303

英特尔18A重回工艺领先地位?台积电:没可能

关键因素上来,也就是半导体制造工艺。   在英特尔宣布开展IDM 2.0后,芯片设计厂商们的选择一下多了起来,英特尔、三星和台积电都能为其提供优异的工艺解决方案。尤其是英特尔近年来拼了命地追赶,宁肯下血本,也要把IFS做起来,甚至目标是做到
2024-01-23 00:19:002237

全球主要半导体设备厂商介绍

半导体作为全球最重要的一个产业,每年为全球经济贡献数千亿美元产值。在整个产业链上,除我们耳熟能详的英特尔、AMD、高通、台积电等生产商外,还包括了众多著名的材料商和设备商。
2024-01-18 11:37:10410

全球半导体收入2023年下滑11.1%,英特尔重回市场领导者地位

在主要厂商方面,英特尔2023年半导体收入衰退16.7%至487亿美元,排名第一。三星则以骤减37.5%至399亿美元的成绩跌至次席。然而,高通和博通在2023年分别下滑16.6%至290亿美元和增长7.2%至256亿美元,分列第三、四位。
2024-01-17 13:49:55218

全球半导体市场收入下滑,英特尔重回第一

多家半导体供应企业也因此遭受重创。前25强制造商中仅有9家在2023年实现营收增长,另有10家降幅超过两位数。此外,包括英特尔在内的TOP25半导体公司的总体收入下降了14.1%,所占市场份额从2022年的77.2%降低至74.4%。
2024-01-17 10:40:22709

英特尔酷睿14代处理器系列发布,Arrowlake/LunarLake24年问世

处理器英特尔
looger123发布于 2024-01-10 17:44:38

WD4000半导体厚度测量系统

WD4000半导体厚度测量系统自动测量Wafer厚度、表面粗糙度、三维形貌、单层膜厚、多层膜厚。1、使用光谱共焦对射技术测量Thickness、TTV、LTV、BOW、WARP、TIR
2024-01-09 09:08:07

台积电和英特尔大战一触即发

台积电和三星可能会跟随英特尔落后一两年进入背面供电领域。台积电的优势之一是其密切合作的客户的巨大力量,确保了台积电的成功,这与台积电的封装成功不同。
2024-01-03 16:09:08464

半导体芯片结构分析

后,这些芯片也将被同时加工出来。 材料介质层参见图3,芯片布图上的每一层图案用不同颜色标示。对应每一层的图案,制造过程会在硅上制做出一层由半导体材料或介质构成的图形。本文把这些图形层称之为材料介质
2024-01-02 17:08:51

用于半导体传送的多动子线性传动设备滑模组#滑模组 #线性模组

半导体线性模组
苏州璟丰机电发布于 2023-12-21 09:40:16

2024年全球半导体设备市场如何走?看SEMI和ASML大咖最新观点

制造技术。另外,外媒报道,ASML计划2024 年生产 10 台 High-NA EUV,英特尔据说已采购了其中6台。2024年半导体设备的争夺大战开启。   12月12日,SEMI在SEMICON
2023-12-21 09:01:571159

TC wafer 测温系统广泛应用半导体上 支持定制

TC-Wafer是将高精度温度传感器镶嵌在表面,对表面的温度进行实时测量。通过的测温点了解特定位置的真实温度,以及圆整体的温度分布,同还可以监控半导体设备控温过程中发生的温度
2023-12-21 08:58:53

英特尔20A、18A工艺流片,台积电面临挑战

英特尔的Intel 20A和Intel 18A工艺已经开始流片,意味着量产阶段已经不远。而2nm工艺和1.8nm工艺的先进程度无疑已经超过了三星和台积电的3nm工艺。
2023-12-20 17:28:52799

哪些因素会给半导体器件带来静电呢?

根据不同的诱因,常见的对半导体器件的静态损坏可分为人体,机器设备和半导体器件这三种。 当静电与设备导线的主体接触时,设备由于放电而发生充电,设备接地,放电电流将立即流过电路,导致静电击穿。外部物体
2023-12-12 17:18:54

西门子与英特尔共同研发制造先进半导体技术

为满足全球对半导体的日益增长的需求,英特尔认为必须有更可持续、更具弹性和全球平衡的供应链。英特尔和西门子将深化合作,以寻找西门子自动化解决方案组合的新应用,这些应用有助于提高半导体基础设施、设施和工厂运营的可持续性和效率。这项备忘录将让无论是区域还是全球的产业价值链都能获益。
2023-12-05 17:15:19466

测温系统tc wafer表面温度均匀性测温

测温系统tc wafer表面温度均匀性测温表面温度均匀性测试的重要性及方法        在半导体制造过程中,的表面温度均匀性是一个重要的参数
2023-12-04 11:36:42

英特尔在俄勒冈州的投资推动了美国的创新发展

来源:半导体芯科技编译 英特尔的承诺提升了 "硅谷森林 "作为全球半导体研发和制造中心的地位,加强了该地区的经济增长。 英特尔最近分享了其在俄勒冈州希尔斯伯勒朗勒庄园的戈登摩尔园区推进半导体技术开发
2023-11-27 18:03:58174

#半导体 #Q3季度 全球半导体设备巨头,Q3过得怎么样?

半导体
深圳市浮思特科技有限公司发布于 2023-11-23 14:43:38

#芯片 # 1nm芯片传出新进展,代工先进制程竞赛日益激烈!

半导体
深圳市浮思特科技有限公司发布于 2023-11-23 14:41:28

Q3全球半导体公司排行:英特尔、英伟达领先 收入超百亿美元

Omdia首席分析师Cliff Leimbach表示:“并不是只有ai主导半导体产业的增长,其他半导体领域也有所增长。”在全世界15大半导体公司中,有14家在q3实现了半导体进口的季度增长,而在相关部门追踪的126家半导体产业企业中,有80家实现了销售增长。
2023-11-23 11:54:16547

越南正在大力发展半导体产业

当前,越南的半导体产业规模相对较小,与台日韩以及中国业者相比,依然较为有限。越南北部的半导体企业以封测和组装制造为主,产品主要应用于存储器。而越南南部的半导体企业主要专注于IC设计,目前只有英特尔
2023-11-16 15:52:27210

英特尔CEO:“四年五个制程节点”进展正在得到第三方肯定

节点”计划,即通过在(当时的)未来四年内推进Intel 7、Intel 4、Intel 3、Intel 20A和Intel 18A五个制程节点,于2025年重获制程领先性。这一计划也标志着英特尔调整了其命名制程节点的方式,目前在半导体行业内,制程节点的数字已不再表示芯片
2023-11-10 17:48:09238

英特尔服务中国不遗余力 顺应变化 引领未来

英特尔在这两年对于半导体技术的宣传仍然不遗余力:无论是半导体制造展望1-2年后的Intel 18A工艺,还是先进封装hybrid bonding互联间距缩减至3μm的未来技术,亦或更前沿的2D材料
2023-11-08 10:29:54243

半导体几何形貌自动检测机

WD4000系列半导体几何形貌自动检测机采用高精度光谱共焦传感技术、光干涉双向扫描技术,完成非接触式扫描并建立3D Mapping图,实现厚度、TTV、LTV、Bow、Warp、TIR
2023-11-06 10:47:07

#高通 #英特尔 #Elite 高通X Elite芯片或终结苹果、英特尔的芯片王朝

高通英特尔苹果
深圳市浮思特科技有限公司发布于 2023-10-27 16:46:07

# #冷战 张忠谋回母校演讲称:应避免冷战

行业资讯
深圳市浮思特科技有限公司发布于 2023-10-26 17:17:08

半导体表面三维形貌测量设备

WD4000半导体表面三维形貌测量设备自动测量Wafer厚度、表面粗糙度、三维形貌、单层膜厚、多层膜厚。可广泛应用于衬底制造、制造、及封装工艺检测、3C电子玻璃屏及其精密配件、光学加工、显示
2023-10-23 11:05:50

深圳半导体检测设备厂商

WD4000半导体检测设备自动测量Wafer厚度、表面粗糙度、三维形貌、单层膜厚、多层膜厚。1、使用光谱共焦对射技术测量Thickness、TTV、LTV、BOW、WARP、TIR、SORI
2023-10-19 11:08:24

IGBT基础知识及国内厂商盘点

建设,2017年投产,成为国内第一家拥有8英寸生产线的IDM产品公司,2020年实际月产能达到5~6万片。2018年,公司12英寸特色工艺生产线及先进化合物半导体器件生产线在厦门开工建设。2020
2023-10-16 11:00:14

英特尔、高塔半导体回应巴以冲突影响:密切关注,公司正常运作

据悉,英特尔在以色列晶圆厂fab 28投资,成立了3个研发中心,并雇用1万名职员,是以色列最大的出口企业。军伊特库表示:“以色列国内最大的半导体企业是英特尔。要观察战争是否会扩散到其他地区和持续时间。半导体生产中心以亚洲为主,整体生产差池也不大。
2023-10-11 11:45:43490

2023英特尔on技术创新大会:英特尔研究院展示多项技术“魔法”

英国著名科幻小说家阿瑟·克拉克(《2001:太空漫游》)有言:“任何先进的技术,初看都与魔法无异。”在英特尔这家巨大的半导体公司的内部,有一批人正在专注于此,即用新颖的方法,在广泛的前沿研究领域
2023-09-26 17:25:58268

英特尔德国建厂与台积电同遭人才短缺

英特尔初步预测该工厂将于2027年投产。德国政府宣传“英特尔计划”,并支付了100亿欧元的补贴。此次投资案是欧盟半导体制造发展的一环,目标是将欧洲在全球半导体生产中的占有率提高两倍。
2023-09-26 15:07:08511

英特尔发布首款基于Intel4的处理器——Meteor Lake

美国半导体巨头英特尔在9月19日举办的年度创新峰会上发布了最新的PC处理器Meteor Lake,这是英特尔首款采用Intel 4制程工艺打造的处理器。
2023-09-20 16:54:421197

英特尔和新思科技深化合作,提供基于英特尔先进制程节点的领先IP

合作伙伴关系。 英特尔和新思科技(Synopsys)近日宣布已经达成最终协议,深化在半导体IP和EDA(电子设计自动化)领域的长期战略合作伙伴关系,共同为英特尔代工服务的客户开发基于Intel 3和Intel 18A制程节点的IP产品组合。提供基于英特尔先进制程节点的关键
2023-09-12 16:36:24175

滨正红PFA花篮特氟龙盒本底低46

PFA花篮(PFA wafer Cassette) 又名 清洗花蓝 ,铁氟龙卡匣 , 铁氟龙舟盒 ,铁氟龙盒为承载半导体片/硅片
2023-08-29 08:57:51

英特尔IDM 2.0战略再进一步,携手新思科技开发基于Intel 3、Intel 18A的领先IP

合作伙伴关系和加快提供IP的速度,该合作将支持英特尔代工服务生态的发展; · 该合作建立在新思科技与英特尔长期的IP和EDA战略合作伙伴关系之上。 英特尔和新思科技(Synopsys)宣布已经达成最终协议,深化在半导体IP和EDA(电子设计自动化)领域的长期战略合作伙伴关系,共
2023-08-28 11:08:29322

英特尔和新思科技深化合作,提供基于英特尔先进制程节点的领先IP

技与英特尔长期的IP和EDA战略合作伙伴关系之上。 英特尔和新思科技(Synopsys)宣布已经达成最终协议,深化在半导体IP和EDA(电子设计自动化)领域的长期战略合作伙伴关系,共同为英特尔代工服务的客户开发基于Intel 3和Intel 18A制程节点的IP产品组合。提供基于英特尔
2023-08-26 10:20:01435

为什么英特尔放弃收购高塔?

很快,在2月15日,英特尔和高塔半导体双方宣布达成了最终协议。根据协议,英特尔将以每股53美元的现金收购高塔半导体,该价格比14日的收盘价溢价60%,总价值约为54亿美元。
2023-08-22 15:44:52504

英特尔求而不得的晶圆厂,高塔半导体的前世今生

电子发烧友网报道(文/周凯扬)作为世界前十的晶圆代工厂之一,高塔半导体(Tower Semiconductor)最近再度登顶各大新闻头条,均与英特尔失败的收购有关。这家以色列公司既服务于无晶圆制造
2023-08-22 00:08:003232

英特尔终止收购高塔半导体,这对晶圆代工市场格局的改变有何影响?

电子发烧友网报道(文/李弯弯)近日,据外媒报道,英特尔宣布终止收购以色列半导体代工厂高塔半导体(Tower Semiconductor),原因是无法及时获得合并协议所要求的监管批准。根据合并协议
2023-08-20 08:37:561682

新思科技与英特尔大战略合作,以关键IP组合赋能Intel 3/18A先进制程

战略合作伙伴关系之上; 新思科技与英特尔近日共同宣布,双方已经达成一项最终协议,通过为英特尔代工客户开发针对Intel 3和Intel 18A制程工艺的IP产品组合,进一步扩大在半导体IP和EDA(电子设计自动化)领域的长期战略合作伙伴关系。新思科技针对英特尔
2023-08-18 15:10:02378

英特尔宣布终止收购高塔半导体

英特尔晶圆代工服务(ifs)的首席副总经理兼总负责人Stuart Pann表示:“英特尔晶圆代工服务部在2021年,工程服务有限公司成立以来,顾客和合作伙伴的支援下,到2020年为止,世界第二大外部晶圆代工要向着目标取得相当大的进展。”
2023-08-18 10:03:38290

高塔半导体宣布终止英特尔收购协议

高塔半导体表示:“经过深思熟虑,完全没有得到部分必要的限制规定批准的痕迹。”并就2023年8月15日以后终止合并合同达成了协议。根据合并合同的条件和此次合同的终止,英特尔需要向hta支付3.53亿美元的逆向合同解除费用。
2023-08-18 09:56:58308

英特尔终止收购Tower Semiconductor的计划

来源:半导体芯科技编译 日前,英特尔表示,由于无法及时获得监管部门批准该笔54亿美元的交易,公司已终止收购以色列合约芯片制造商Tower Semiconductor的计划。 其公告声明称,英特尔去年
2023-08-17 17:09:10699

分手费26亿元!英特尔宣布终止对高塔半导体的收购

行业芯事
电子发烧友网官方发布于 2023-08-17 11:04:49

芯片设厂欧洲 欧媒看好台积电看衰英特尔

欧盟(eu)出台欧盟芯片法(EuropeanChips Act)后,欧洲形成了三大半导体投资。半导体公司意法半导体(STMicroelectronics)和格罗方德(GlobalFoundries,又称格芯)投资100亿欧元在法国建设晶片工厂。英特尔计划在德国再增加300亿欧元。
2023-08-17 10:13:38332

安装OpenVINO工具套件英特尔Distribution时出现错误的原因?

安装OpenVINO™工具套件英特尔 Distribution时,出现错误: Python 3.10.0.ECHO is off. Unsupported Python version.
2023-08-15 08:14:13

供应链转移?美光、英特尔、世界等大厂集体抢进新加坡

随着东南亚地区的快速发展,新加坡逐渐成为半导体界关注的焦点。美光、英特尔、联电等重要半导体制造商近年来都在东南亚加大投资力度,以扩展市场布局。就连台积电也传出消息,计划在新加坡设立首座12英寸晶圆厂
2023-08-08 16:36:09584

先楫半导体使用上怎么样?

先楫半导体使用上怎么样?
2023-08-08 14:56:29

英特尔媒体加速器参考软件Linux版用户指南

英特尔媒体加速器参考软件是用于数字标志、交互式白板(IWBs)和亭位使用模型的参考媒体播放器应用软件,它利用固定功能硬件加速来提高媒体流速、改进工作量平衡和资源利用,以及定制的图形处理股(GPU)管道解决方案。该用户指南将介绍和解释如何为Linux* 使用英特尔媒体加速器参考软件。
2023-08-04 06:34:54

8英寸晶圆半导体IGBT封测和模组项目将落地安徽黟县

黟县据公布的消息称,黄山芯动力投资基金合作协议的签订,黟县总规模3亿元的益山继招商产业引导基金设立后,对半导体产业项目投资的又一个“芯动力半导体产业基金设立的。黟县正在进行总投资额达10亿元人民币的8英寸半导体igbt包装测试及模块项目。
2023-07-19 11:11:22776

重大进展!中国团队实现12英寸二维半导体晶圆批量制备

该研究提出模块化局域元素供应生长技术,成功实现了半导体性二维过渡金属硫族化合物晶圆批量化高效制备,晶圆尺寸可从2英寸扩展至与现代半导体工艺兼容的12英寸,有望推动二维半导体材料由实验研究向产业应用过渡,为新一代高性能半导体技术发展奠定了材料基础。
2023-07-13 16:06:49408

我国突破12英寸二维半导体晶圆批量制备技术

该研究提出模块化局域元素供应生长技术,成功实现了半导体性二维过渡金属硫族化合物晶圆批量化高效制备,晶圆尺寸可从2英寸扩展至与现代半导体工艺兼容的12英寸,有望推动二维半导体材料由实验研究向产业应用过渡,为新一代高性能半导体技术发展奠定了材料基础。
2023-07-10 18:20:39504

测温系统,测温热电偶,测温装置

 测温系统,测温热电偶,测温装置一、引言随着半导体技术的不断发展,制造工艺对温度控制的要求越来越高。热电偶作为一种常用的温度测量设备,在制造中具有重要的应用价值。本文
2023-06-30 14:57:40

英特尔/高通等国际半导体大厂最新动态追踪

英特尔财务长David Zinsner在投资者电话会议上表示,英特尔的内部营业部门现在将与制造业务建立客户与供应商关系。基于这种模式,英特尔明年有望成为第二大晶圆代工厂,代工收入将超过200亿美元。
2023-06-27 16:04:44335

硅谷之外的繁荣:中国半导体产业在IC设计、制造和封装测试领域的辉煌征程

北京中科同志科技股份有限公司发布于 2023-06-27 10:52:55

积塔半导体12英寸产线顺利通线

来源:上海积塔半导体有限公司 近日,积塔半导体12英寸汽车芯片先导线顺利建成通线,标志着积塔12英寸汽车芯片项目取得重大进展,是积塔半导体实现12吋汽车芯片战略的重要里程碑。 12英寸BCD产品
2023-06-26 17:37:03510

积塔半导体12英寸汽车芯片先导线已建成通线

该生产线的12英寸bcd产品于今年2月正式投入胶片,6月2日完成了胶片处理,元件电极(wat)试验结果均达到标准。积塔半导体方面正式表示,此次构建开通线意味着积塔12英寸汽车半导体事业的重大进展,这是积塔半导体实现12英寸汽车半导体战略的重要里程碑。
2023-06-26 10:39:10822

科友半导体突破8英寸SiC量产关键技术

科友半导体突破了8英寸SiC量产关键技术,在晶体尺寸、厚度、缺陷控制、生长速率、制备成本、及装备稳定性等方面取得可喜成绩。2023年4月,科友半导体8英寸SiC中试线正式贯通并进入中试线生产,打破了国际在宽禁带半导体关键材料的限制和封锁。
2023-06-25 14:47:29342

英特尔同意IMS业务独立

ims专门开发了尖端节点多束口罩记录器。尖端euv口罩所需要的多束口罩记录器已成为半导体制造生态界的重要组成部分。英特尔在2009年首次投资ims,但在2015年收购了ims,收购后ims为英特尔开发了第三代产品,并向英特尔进行了大量投资报告。
2023-06-25 10:31:01666

积塔半导体12英寸产线顺利通线 积塔半导体汽车芯片征程新起点

日前(2023年6月2日)积塔半导体12英寸汽车芯片先导线顺利建成通线,标志着积塔12英寸汽车芯片项目取得重大进展,是积塔半导体实现12吋汽车芯片战略的重要里程碑。 12英寸BCD产品于2023
2023-06-24 21:21:522983

英特尔要投资Arm?

英特尔首席执行官帕特·基辛格 (Pat Gelsinger) 推动公司重回半导体行业巅峰的努力的一个关键部分是一项向其他公司甚至竞争对手开放其工厂的计划。如果他要在外包生产方面成功地与台积电竞争,英特尔就必须生产包含 Arm 广泛使用的技术的芯片。
2023-06-14 14:28:34309

半导体企业如何决胜2023秋招?

管理协会创始会员及《第一资源》智库专家。同时拥有在英特尔、泰克科技等多家知名科技企业20余年人力资源管理经验(包括十年以上的亚大区管理经验近5年在半导体行业深耕,参与半导体才智大会芯雇主评选及人
2023-06-01 14:52:23

探针的功能有哪些

探针的主要用途是为半导体芯片的参数测试提供一个测试平台,探针可吸附多种规格芯片,并提供多个可调测试针以及探针座,配合测量仪器可完成集成电路的电压、电流、电阻以及电容电压特性曲线等参数检测
2023-05-31 10:29:33

台积电、英特尔、应用材料、三星等7大厂商高管齐聚日本

来源:芯智讯,谢谢 待定 编辑:感知芯视界 5月18日消息,综合日经新闻、读卖新闻及路透社报道,日本官方邀请台积电、英特尔、应用材料、三星等全球七大半导体厂高层前往日本,最快今天与日
2023-05-23 14:41:21227

MLCC龙头涨价;车厂砍单芯片;28nm设备订单全部取消!

调整为每月62万片12英寸。与去年第四季度相比下降了5.34%。 特别是在第二季度,三星在西安半导体工厂将大幅减产。就西安一厂而言,预计将减产至每月11万片,比去年第四季度的每月12.5万片减少12
2023-05-10 10:54:09

紫光建广半导体科技园/12英寸晶圆生产线...一批半导体产业项目迎来新进展

来源:全球半导体观察,谢谢 国内又一批产业项目也迎来了新的进展。 编辑:感知芯视界 当前,尽管半导体产业正处于行业下行周期,但在新能源汽车、光伏电能、5G通讯、物联网、大数据等新兴应用的推动下,全球
2023-05-10 10:21:12750

1.6 中国半导体材料产业

半导体
jf_90840116发布于 2023-05-08 01:52:50

芯片行业,何时走出至暗时刻?

电子、恩智浦、意法半导体和安森美等芯片大厂对汽车赛道的深入布局和规划。 代工迎来最冷一季? :下调预期,终止连续13年增长势头 公布的2023年第一季度业绩显示,营收5086.3亿新台币
2023-05-06 18:31:29

共聚焦显微镜精准测量激光切割槽

 半导体大规模生产过程中需要在上沉积集成电路芯片,然后再分割成各个单元,最后再进行封装和焊接,因此对切割槽尺寸进行精准控制和测量,是生产工艺中至关重要的环节。 
2023-04-28 17:41:49

2023年最强半导体品牌Top 10!第一名太强大了!

产业最强的品牌,获得AA+评级。 有多强? 2022年全球市值十大的公司中,美国占了八家,因外两家分别是沙特阿拉伯国家石油公司和公司目前属于世界级一流水平的专业半导体制造公司
2023-04-27 10:09:27

半导体行业载码体阅读器 低频一体式RFID读写器

JY-V620是一款集天线、放大器、控制器、红外感应于一体的半导体电子货架RFID读写器,工作频率134.2kHz,兼容TI系列玻璃管标签。工作时读写器通过红外感应FOUP盒,触发天线读取
2023-04-23 10:45:24

英特尔和ARM合作 基于英特尔18A工艺进行设计技术协同优化

英特尔和Arm达成了一项合作协议,英特尔代工服务(Intel Foundry Services)和Arm将会进行设计技术协同优化,这意味着让芯片设计者能够基于英特尔18A制程打造低功耗的SoC
2023-04-19 14:31:23913

国内功率半导体需求将持续快速增长,欢迎广大客户通过华秋商城购买导微系列产品

、可穿戴设备、云计算、大数据、新能源、医疗电子、VR/AR、安防电子等新兴应用领域将成为国内半导体分立器件产业的持续增长点。导微成立于2013年,聚焦二极管、整流桥等半导体分立器件产品以及集成电路
2023-04-14 16:00:28

国内功率半导体需求将持续快速增长

、可穿戴设备、云计算、大数据、新能源、医疗电子、VR/AR、安防电子等新兴应用领域将成为国内半导体分立器件产业的持续增长点。导微成立于2013年,聚焦二极管、整流桥等半导体分立器件产品以及集成电路
2023-04-14 13:46:39

英特尔宋继强:面向半导体“万亿时代”,以全栈创新推动算力发展

日”上,英特尔研究院副总裁、英特尔中国研究院院长宋继强发表了题为“智·变 拓·界”的主题演讲,分享了英特尔中国研究院对数字化时代半导体行业技术创新的思考,及在诸多前沿技术领域的最新进展。 中国数字经济正在“量质齐升
2023-04-04 10:15:56332

喜讯!华秋电子荣获深圳市半导体行业协会优秀合作奖

商“华秋商城”、PCBA制造平台“华秋智造”等服务平台,全面打通产业上、中、下游,形成电子产业链闭环生态,给行业带来“高品质,短交期,高性价比”的一站式服务平台,为半导体行业创新与发展提供助力。
2023-04-03 15:28:32

已全部加载完成