电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>业界新闻>厂商新闻>新思科技Synopsys收购SoC验证仿真公司EVE

新思科技Synopsys收购SoC验证仿真公司EVE

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

思科技携手英伟达,全新AI解决方案引领万物智能时代

思科技(Synopsys)今日在硅谷圣克拉拉会议中心隆重召开了年度“新思科技全球用户大会(SNUG)”。
2024-03-22 10:34:0977

280亿美元!思科收购Splunk尘埃落地

思科对Splunk的收购交易以280亿美元的价格正式完成,这一收购案终于尘埃落定。
2024-03-21 16:21:13296

思科技携手英伟达:基于加速计算、生成式AI和Omniverse释放下一代EDA潜能

。这一合作将在集成电路设计、验证仿真及制造各环节实现最高15倍的效能提升; 将 Synopsys.ai 的芯片设计生成式AI技术与英伟达 AI 企业级软件平台进行整合,平台中包含英伟达微服务,并且利用英伟达的加速计算架构; 新思科技结合英伟达Omniverse 扩展其汽车虚拟原型解决方
2024-03-20 13:43:2744

fpga原型验证平台与硬件仿真器的区别

FPGA原型验证平台与硬件仿真器在芯片设计和验证过程中各自发挥着独特的作用,它们之间存在明显的区别。
2024-03-15 15:07:03125

思科收购Splunk获欧盟无条件批准,金额达280亿美元

 3月13日,欧洲联盟反垄断机构对思科以280亿美元收购网络安全公司Splunk一事进行审查,并给予无条件支持。欧委会表示,此次收购未发现任何竞争问题。
2024-03-15 10:06:10112

瑞萨电子收购软件公司Altium

继EDA巨头Synopsys(新思科技)以350亿美元收购Ansys之后,全球半导体产业再次迎来重磅并购。日本芯片制造商瑞萨电子近日宣布,将以约59亿美元的价格收购澳大利亚软件公司Altium。这一交易将成为日本买家对澳大利亚上市公司的最大规模收购
2024-02-18 18:28:04837

2024 年第一大收购案,1100 亿美元「芯片设计巨头」诞生

成为科技行业近期规模最大的一次并购。 Synopsys 是一家芯片设计软件制造商,市值约 850 亿美元,而 Ansys 是一家仿真软件制造商,市值约 300 亿美元。 与其主要客户英伟达、英特尔等相比
2024-01-25 09:16:12345

Synopsys收购Ansys,1100亿美元“芯片设计巨头”诞生

全球电子设计自动化(EDA)巨头Synopsys近日宣布,将以350亿美元的巨额交易收购仿真软件制造商Ansys。这一并购案将成为近期科技行业规模最大的一次交易。
2024-01-18 18:18:38969

思科技在人工智能需求推动下以350亿美元收购Ansys公司

来源:Fierce Electronics 主要以半导体行业使用的电子设计自动化工具而闻名的新思科技(Synopsys)将以350亿美元的价格收购互补仿真和分析软件制造商Ansys,这是2024
2024-01-18 16:17:47167

思科技350亿美元收购工业软件公司Ansys

全球最大的半导体EDA软件提供商新思科技(Synopsys)近日宣布,将以350亿美元的现金和股票收购工业软件公司Ansys。这一交易预计将在2025年上半年完成,但需要获得股东和监管部门的批准。
2024-01-18 14:45:36375

四家国际光学巨头掀起收购

来源:光电汇OESHOW,谢谢 编辑:感知芯视界 万仞 2024年初,光子领域专家炬光科技、光学软件巨头新思科技、AMS Technologies、大型跨国集团公司牛津仪器,这四家国际光学巨头强势
2024-01-18 09:36:47174

思科技计划收购Ansys,350亿美元!

思科技和Ansys近日宣布已达成最终协议,新思科技将收购Ansys。该交易预计于2025年上半年完成,并需获得股东和监管部门的批准。
2024-01-17 17:00:13557

Synopsys将以350亿美元并购Ansys

美国当地时间1月16日消息,Synopsys与Ansys正式达成收购协议。根据协议条款,Synopsys将以350亿美元(190亿美元现金加160亿美元股票)的价格收购Ansys。这笔交易预计将于2025年上半年完成,但目前仍需要等待监管部门的批准。
2024-01-17 15:41:00322

思科技将以350亿美元收购Ansys

思科技(Synopsys)与Ansys两家业界巨头近日宣布,新思科技将以350亿美元的价格收购Ansys。这一并购计划旨在推动两家公司在芯片到系统设计解决方案领域的全球领导地位。
2024-01-17 14:53:48323

今日看点丨比亚迪发布整车智能化架构“璇玑”及璇玑AI大模型;新思科技宣布350亿美元收购仿真软件公司A

1. 新思科技宣布350 亿美元收购仿真软件公司Ansys   芯片设计软件制造商新思科技(Synopsys)表示,将以约350亿美元的现金加股票交易收购仿真软件公司Ansys。该交易旨在扩大
2024-01-17 10:36:27507

思科技斥资350亿美元收购仿真软件Ansys

思科技坐落于美国加州桑尼维尔市,为数不多的半导体设计软件龙头企业之一,与 Cadence Design Systems进行市场竞争。安捷伦则提供工程师所需的模拟软件帮助他们预判实际应用效果。
2024-01-17 09:23:02226

思科收购Ansys,引领芯片系统设计解决方案

 新思科技总裁Sassine Ghazi称,面对系统复杂性与AI、芯片需求大增以及软件定义系统等趋势的挑战,我们共计天下的EDA与Ansys严谨的仿真分析技术将引领从芯片至系统设计的全面性创新模式。他还表达了与其团队紧密协作并为各方创造更多价值的期望。
2024-01-17 09:14:29189

Synopsys计划收购Ansys

Synopsys,这是一家专注于芯片设计软件的公司,近日已向工程软件供应商Ansys提出了收购要约。这起收购案的估值达到了惊人的300亿美元。目前,两家公司正在进行排他性谈判,如果一切顺利,协议最快可能在不久的将来达成。
2024-01-09 15:23:41402

数字电路设计有哪些仿真验证流程

数字电路设计的仿真验证流程是确保设计能够正确运行的重要步骤之一。在现代电子设备中,数字电路被广泛应用于各种应用领域,如计算机、通信设备、汽车电子等等。因此,设计师必须通过仿真验证来确保电路能够按照
2024-01-02 17:00:43255

思科收购Ansys,拓展RISC-V验证验证解决方案

Imperas拥有的虚拟软件模拟技术广泛拓展至 RISC-V领域,为整个产业链带来便利。2018年,该公司推出的 riscvOVPsim成为首款免费 RISC-V指令集模拟器,便于工程师们单核RISC-V CPU建模与仿真,无需实体硬件与相应的测试。
2023-12-27 09:57:24213

传新思科收购Ansys,交易价值接近350亿美元

思科技(Synopsys)和安世(Ansys)的收购传闻再度引发业界关注。据多家外媒报道,新思科技已向安世提交收购要约,报价高达每股400美元,交易价值接近350亿美元。这一收购计划将缔造一个设计软件巨头,并成为2024年科技行业的重大收购案。
2023-12-25 16:58:52910

思科技提交Ansys收购要约,市值达300亿美元,高层变动引领变革

思科技意图通过领导者更迭之际的变革性收购,明显突显了安世半导体软件的巨大商机,尤其在包括网球选手用款球拍在内的设计领域得到了广泛运用。
2023-12-25 11:31:26413

思科技成功收购PikeTec,持续扩大自动驾驶全球领导地位

加利福尼亚州桑尼维尔2023年8月30日 /美通社/ -- 新思科技(Synopsys, Inc.,纳斯达克股票代码:SNPS)近日宣布,已经完成对汽车控制单元软件测试和验证解决方案领导者
2023-12-15 10:27:153933

思科技加入“Arm全面设计”生态系统并提供IP和芯片设计服务

思科技加入“Arm全面设计”(Arm Total Design)生态系统并提供IP和芯片设计服务,通过Synopsys.ai全栈式AI驱动型EDA全面解决方案和硬件辅助验证产品组合降低定制SoC
2023-11-17 09:24:09384

思科技于2023台积公司OIP生态系统论坛上荣获多项年度合作伙伴大奖

多个奖项高度认可新思科技在推动先进工艺硅片成功和技术创新领导方面所做出的卓越贡献 摘要 : 新思科技全新数字与模拟设计流程认证针对台积公司N2和N3P工艺可提供经验证的功耗、性能和面积(PPA)结果
2023-11-14 14:18:45118

思科技携手合作伙伴开发针对台积公司N4P工艺的射频设计参考流程

(RF)设计和接口IP五项大奖。新思科技与台积公司长期稳固合作,持续提供经过验证的解决方案,包括由Synopsys.ai全栈式AI驱动型EDA解决方案支持的认证设计流程,帮助共同客户加快创新型人工智能
2023-11-14 10:31:46375

思科技重磅发布全新RISC-V处理器系列,进一步扩大ARC处理器IP组合

空间; 经验证且成熟的新思科技MetaWare软件开发工具链能够帮助软件工程师基于新思科技ARC-V处理器IP高效开发高度优化的软件代码; Synopsys.ai全栈式AI驱动型EDA解决方案
2023-11-10 10:59:33684

思科技可互操作工艺设计套件助力开发者快速上手模拟设计

模拟设计 新思科技携手Ansys 和 Keysight 共同推出全新射频设计参考流程,能够为现代射频集成电路设计提供完整解决方案 新思科技(Synopsys)近日宣布,其模拟设计迁移流程已应用于台积公司N4P、N3E 和 N2 在内的多项先进工艺。作为新思科技定制设计系列产品
2023-11-09 10:59:40435

思科(CSCO.US)280亿美元收购网络安全公司Splunk(SPLK.US)

思科(CSCO.US)280亿美元收购网络安全公司Splunk(SPLK.US) 日前思科大手笔收购了一家网络安全公司,金额高达约280亿美元(约2047亿人民币)合每股157 美元,这次的收购
2023-11-02 17:46:46699

康耐视宣布收购Moritex公司

作为全球工业机器视觉领域的领导者之一,康耐视公司(纳斯达克:CGNX)宣布完成对日本光学元器件公司Moritex的收购。这是康耐视公司历史上规模最大的一次收购交易。
2023-11-02 09:11:24336

思科技与Arm持续深化合作,加速先进节点定制芯片设计

)生态系统并提供IP和芯片设计服务,通过Synopsys.ai全栈式AI驱动型EDA全面解决方案和硬件辅助验证产品组合降低定制SoC的进入门槛并缩短上市时间。 基于全球IP使用协议,新思科技将为Arm提供用于流片前互操作性测试和性能分析的IP组合,搭载对接所有Arm处理器和子系统的片上演示系统,
2023-11-01 10:47:37109

思科技携手是德科技、Ansys面向台积公司4 纳米射频FinFET工艺推出全新参考流程,助力加速射频芯片设计

仿真精度,并加快产品的上市时间。 加利福尼亚州桑尼维尔, 2023 年 10 月 30 日 - 新思科技(Synopsys, Inc., 纳斯达克股票代码: SNPS)近日宣布,携手
2023-10-30 16:13:05106

思科技面向台积公司N5A工艺技术推出领先的广泛车规级IP组合

思科技(Synopsys, Inc.)近日宣布,面向台积公司N5A工艺推出业界领先的广泛车规级接口IP和基础IP产品组合,携手台积公司推动下一代“软件定义汽车”发展,满足汽车系统级芯片(SoC)的长期可靠性和高性能计算需求。
2023-10-24 17:24:56505

思科技携手台积公司加速N2工艺下的SoC创新

思科技近日宣布,其数字和定制/模拟设计流程已通过台积公司N2工艺技术认证,能够帮助采用先进工艺节点的SoC实现更快、更高质量的交付。新思科技这两类芯片设计流程的发展势头强劲,其中数字设计流程已实现
2023-10-24 16:42:06475

思科技提供跨台积公司先进工艺的参考流程,助力加速模拟设计迁移

作为Synopsys.ai EDA整体解决方案的一部分,由AI驱动的模拟设计迁移流可助力提升模拟和混合信号 SoC 的设计生产率 摘要 : 新思科技AI驱动的设计解决方案可实现电路优化,在提高
2023-10-24 11:41:37185

思科技面向台积公司N5A工艺技术推出业内领先的广泛车规级IP组合

思科技接口和基础 IP 组合已获多家全球领先企业采用,可为 ADAS 系统级芯片提供高可靠性保障 摘要: 面向台积公司N5A工艺的新思科技IP产品在汽车温度等级2级下符合 AEC-Q100 认证
2023-10-23 15:54:07690

思科技携手台积公司加速2nm工艺创新,为先进SoC设计提供经认证的数字和模拟设计流程

Synopsys.ai™ EDA解决方案中的模拟设计迁移流程可实现台积公司跨工艺节点的快速设计迁移。 新思科技接口IP和基础IP的广泛产品组合正在开发中,将助力缩短设计周期并降低集成风险。   加利福尼亚州桑尼维尔, 2023 年 10 月 18 日 – 新思科技(Synopsys, I
2023-10-19 11:44:22104

英飞凌又收购了一家芯片公司

继三月份收购一家氮化镓厂商后,英飞凌又将一家芯片企业收入囊中。 10月4日 ,英飞凌宣布已收购了总部位于苏黎世的初创公司3db Access AG(3db),这家公司是安全低功耗超宽带(UWB)技术
2023-10-13 08:39:27329

思科技PCIe 6.0 IP与英特尔PCIe 6.0测试芯片实现互操作

在64GT/s高速连接下成功验证互操作性,降低高性能计算SoC的集成风险   加利福尼亚州桑尼维尔, 2023 年 10 月 12 日 -- 新思科技(Synopsys, Inc.,纳斯达克股票代码
2023-10-12 15:11:45129

如何使用Verilog语言进行仿真验证

仿真验证主要作用是搭建一个测试平台,测试和验证程序设计的正确性,验证设计是否实现了我们所预期的功能。其结构如下图所示。
2023-10-02 16:29:00659

Synopsys.ai再拓新版图!新思科技发布业界首个全栈式大数据分析解决方案

思科技Design.da对来自Synopsys.ai设计执行的数据进行深度分析,为开发者提供全面的可视化和可操作的设计分析,从而挖掘功耗、性能和面积(PPA)优化的机会。
2023-09-25 17:41:17307

思科280亿美元收购Splunk,中国加速自动驾驶竞赛

大家好,欢迎收看河套IT WALK第110期。 今天,人工智能正快速地改变我们的世界。从思科斥资280亿美元收购Splunk,旨在彻底改变网络安全领域,到中国各大AI公司在自动驾驶方面的激烈竞争
2023-09-22 19:05:04363

AMD如何将Synopsys AI验证工具用于测试

功能。从那时起,该公司宣布了几项新功能,大大扩展了其人工智能辅助业务。Synopsys产品组合中的一个功能侧重于验证空间优化(verification space optimization
2023-09-21 14:43:07781

芯片设计中逻辑仿真和数字验证介绍

芯片设计的逻辑仿真和数字验证是芯片设计流程中非常重要的一环,它主要用于验证芯片的功能和时序等方面的正确性。下面是逻辑仿真和数字验证的一般流程: 设计规格和功能验证:在开始逻辑仿真之前,首先需要明确
2023-09-14 17:11:23717

思科命令配置使用方法介绍

先安装EVE工具!!!博客中有EVE下载安装和使用说明。
2023-09-06 10:54:481626

EVE-NG平台做的思科传统防火墙的基本实验

EVE-NG平台做的思科传统防火墙的基本实验
2023-09-01 14:28:041249

EDA形式化验证漫谈:仿真之外,验证之内

  M. V. Achutha Kiran Kumar 随着Formal技术的发展,业内已经有不少公司有专门的形式化验证团队,也培养了一批热爱Formal,愿意来钻研这门技术的EDA人。 仿真方法学是动态验证的一种,是一个“你想到哪里才能验到哪里”的验证方式,本质上在不断做加法。 你需要先让自
2023-09-01 09:10:04893

思科技成功收购PikeTec,持续扩大自动驾驶全球领导地位

思科技(Synopsys)近日宣布,已经完成对汽车控制单元系统软件测试和验证解决方案领导者PikeTec GmbH的收购。 软件定义汽车(SDV)的出现加快了车辆电子设备和其软件体量的飞速增长
2023-08-31 12:05:04217

业界再增两起并购:新思科收购PikeTec,AMD收购Mipsology

来源:全球半导体观察 编辑:感知芯视界 近日,业界再增两起并购,新思科技斥资超2亿美元收购德国软件公司PikeTec;AMD收购法国初创公司Mipsology。 新思科收购PikeTec
2023-08-29 09:10:36464

基于VMM验证方法学的MCU验证环境

的。此外,设计不断地重用,而验证也希望能够重用一样的验证模块,这就催生了层次化的验证方法。Synopsys的 VMM验证方法学提供了基于SystemVerilog的
2023-08-25 16:45:55584

思科技IP成功在台积公司3nm工艺实现流片

基于台积公司N3E工艺技术的新思科技IP能够为希望降低集成风险并加快首次流片成功的芯片制造商建立竞争优势
2023-08-24 17:37:47657

EVE-NG详细安装使用指南

EVE-NG(Emulated Virtual Environment - Next Generation),直译为“下一代仿真虚拟环境”,原名是UnifiedNetworking Lab统一
2023-08-08 14:51:502496

SoC芯片设计验证详解

汽车外,还有很多其他行业也能从电子器件的增加受益,当然保障功能安全是大的前提。本文讨论SOC芯片设计验证验证计划和策略以及验证方法。它定义了功能模拟、功能覆盖、
2023-07-31 23:45:12832

基于OmniArk芯神鼎硬件仿真系统和QEMU的混合验证平台

大数据处理和AI芯片设计规模的扩大,以及市场竞争激烈导致的快速迭代需求,越来越多的芯片设计公司开始选择硬件仿真,以提高芯片验证效率,缩短芯片开发周期。相较于软件仿
2023-07-31 23:16:05414

基于OmniArk芯神鼎硬件仿真系统和QEMU的混合验证平台

软件仿真(Simulation),原型验证(Prototyping),以及硬件仿真 (Emulation),是当前主要的三种有效的验证方法,在芯片前端设计的功能性验证阶段起到了关键的作用。
2023-07-27 09:57:57348

两大IP扩大IP合作,新思科技携手三星加速新兴领域复杂SoC设计

存储器、TCAM和GPIO,可以在各先进节点上提供行业领先的功耗、性能和面积(PPA) 新思科技车规级IP集成到三星的工艺中,有助于确保ADAS、动力总成和雷达SoC的长期运行并提高可靠性 三星工艺中集成了广泛的IP组合,并在新思科技经过认证的数字和定制设计流程的加持下,共同加速流片成功
2023-07-26 17:40:03255

eve-ng是什么?为什么要学习eve-ng?eve-ng学习笔记

像GNS3只能模拟思科的路由器,IOU只能模拟思科的路由器和交换机,eNSP只能模拟华为的网络设备,如果要搭建不同厂家的设备实验环境,只能桥接又桥接,很麻烦,或者说很不方便。
2023-07-24 15:20:141345

浅析Formality形式验证里的案件

在当前的形式验证的领域,主要有两个工具,一个就是Cadence的conformal,另外一个就是Synopsys的formality(以下简称FM)。
2023-07-21 09:56:34935

什么是形式验证(Formal验证)?Formal是怎么实现的呢?

相信很多人已经接触过验证。如我以前有篇文章所写验证分为IP验证,FPGA验证SOC验证和CPU验证,这其中大部分是采用动态仿真(dynamic simulation)实现,即通过给定设计(design)端口测试激励,结合时间消耗判断设计的输出结果是否符合预期。
2023-07-21 09:53:244261

fpga验证及其在soc验证中的作用有哪些

很多其他行业也能从电子器件的增加受益,当然保障功能安全是大的前提。本文讨论SOC芯片设计验证验证计划和策略以及验证方法。它定义了功能模拟、功能覆盖、代码覆盖以及设计验证中使用的重要术语。本文还涉及FPGA验证及其在S
2023-07-20 09:05:59596

移动SoC的时钟验证

移动电话技术的进步不断挑战极限,要求SoC在提供不断提升的性能的同时,还能保持较长的电池续航时间。为了满足这些需求,业界正在逐步采用更低的技术节点,目前的设计都是在5纳米或更低的工艺下完成的。在这
2023-07-17 10:12:18433

芯片也能“开天眼”?新思科技携手台积公司实现SLM PVT监控IP流片

的“耳目”。 新思科技一直走在芯片监控解决方案的前沿,而这些解决方案是新思科技芯片生命周期管理(SLM)系列的一部分。最近, 新思科技在台积公司N5和N3E工艺上完成了PVT监控IP测试芯片的流片 。这是一个里程碑式的成功。从此,那些准备在这些先进节点
2023-07-11 17:40:01512

思科技与三星扩大IP合作,加速新兴领域先进SoC设计

面向三星8LPU、SF5 (A)、SF4 (A)和SF3工艺的新思科技接口和基础IP,加速先进SoC设计的成功之路 摘要: 新思科技接口IP适用于USB、PCI Express、112G以太网
2023-06-30 13:40:14341

一次成功!新思科技助力Banias Labs网络SoC流片,加快高性能计算设计

Labs实现光学DSP SoC设计的一次性流片成功。2021年,Banias Labs采用了新思科技的IP,以充分利用该IP在低延迟、传输长度灵活性、以及在5纳米工艺技术上的成熟度等方面的技术优势
2023-06-19 18:05:01180

软件仿真、硬件仿真、原型验证是如何工作的?

面对复杂的设计代码,我们如何确保其准确性?功能验证就是这场战斗的关键过程。工程师们通常使用的验证方法包括软件仿真、硬件仿真和原型验证等。这些不同的验证方法都有各自的优点,也有各自的不足。
2023-06-11 14:24:53489

思科技系统级解决方案赋能Arm全新计算平台,携手加速下一代移动SoC开发

思科技系统级全方位解决方案涵盖了设计、验证、芯片生命周期管理和IP,可提供业界领先的性能和能效 Synopsys.ai全栈式人工智能驱动型EDA解决方案和新思科技Fusion Compiler
2023-06-07 01:50:02366

【前沿技术】全栈式AI驱动型EDA解决方案Synopsys.ai

来源:《半导体芯科技》杂志 新思科技隆重推出业界首款全栈式AI驱动型EDA解决方案Synopsys.ai,覆盖了先进数字与模拟芯片的设计、验证、测试和制造环节。基于此,开发者第一次能够在芯片开发
2023-06-02 17:35:08357

如何搭建硬件仿真加速环境

手段有三个——逻辑仿真(Simulation)、硬件加速仿真(Emulation)验证和原型验证(Prototyping)。 逻辑仿真 是传统验证手段,通过对模块级逻辑的行为进行建模,芯片设计团队可以利用仿真软件分区块对SoC进行验证,以此确认芯片功能是否符合确定
2023-06-02 15:18:561154

思尔芯系统级验证原型解决方案助力BLE Audio领域的IP/蓝牙SoC快速设计

思尔芯(S2C)近日宣布,公司的系统级验证原型验证解决方案获得了较为全面的正向市场反馈,成功协助多家设计企业完成低功耗蓝牙音频(BLE Audio)领域的IP/蓝牙SoC定制方案设计。
2023-05-30 15:52:52401

为什么SoC验证一定需要FPGA原型验证呢?

在现代SoC芯片验证过程中,不可避免的都会使用FPGA原型验证,或许原型验证一词对你而言非常新鲜,但是FPGA上板验证应该是非常熟悉的场景了。
2023-05-30 15:04:06905

SoC仿真验证到FPGA原型验证的时机

我们当然希望在项目中尽快准备好基于FPGA原型验证的代码,以便最大限度地为软件团队和RTL验证人员带来更客观的收益。
2023-05-30 11:10:27769

思科技ZeBu Server 5首发即实现超4000亿门销量,加速系统级芯片数字孪生

电子数字孪生能够实现电子系统的动态数字表征,以加速软件启动、功耗分析和SW/HW验证思科技ZeBu Server 5提供高达300亿门级的容量,与上一代产品相比,其吞吐量和能效都提升
2023-05-29 21:05:02505

SystemVerilog测试套件加速IP到SoC的重用

如果没有经过深思熟虑的验证环境,验证团队会浪费大量时间在 SoC 级别重新创建验证环境以实现芯片级验证,因为他们不考虑重用最初开发的环境来验证其块级 IP。即使跨相同的抽象级别,也无法重用相同的验证IP和环境来支持仿真仿真,也会导致延迟,并消耗不必要的工程资源。
2023-05-29 10:13:16335

思科技推出业内首款高性能仿真系统ZeBu Server 5,助力实现系统级芯片电子数字孪生

思科技ZeBu Server 5硬件仿真系统首年销售容量超4000亿门,加速复杂SoC和多裸晶芯片系统设计 摘要 : 电子数字孪生能够实现电子系统的动态数字表征,以加速软件启动、功耗分析和SW
2023-05-26 16:58:20728

使用Synopsys VIP签署PCIe 5.0验证

PCI Express® 5.0规范,达到32GT / s的传输速率,同时保持低功耗和与前几代技术的向后兼容性。为此,Synopsys 还宣布与 Astera Labs 合作开发业界首款 PCIe
2023-05-26 10:41:48955

使用Synopsys智能监视器提高Arm SoC的系统性能

在使用 AXI 总线移动大量数据的 SoC 中,AXI 总线的性能可能会成为整体系统性能的瓶颈。SoC 中日益增加的复杂性和软件内容,因此需要使用实际数据有效载荷在硅前进行左移性能验证。硬件辅助验证
2023-05-25 15:37:52543

SoC设计的IO PAD怎么移植到FPGA原型验证

FPGA原型验证系统要尽可能多的复用SoC相关的模块,这样才是复刻SoC原型的意义所在。
2023-05-23 16:50:34381

思科技、台积公司和Ansys强化生态系统合作,共促多裸晶芯片系统发展

思科技(Synopsys, Inc.)近日宣布,携手台积公司和Ansys持续加强多裸晶芯片系统设计与制造方面的合作,助力加速异构芯片集成以实现下一阶段的系统可扩展性和功能。得益于与台积公司
2023-05-18 16:04:08790

思科技、台积公司和Ansys强化生态系统合作,共促多裸晶芯片系统发展

三家全球领先公司紧密协作,以满足基于台积公司先进技术的设计在芯片、封装和系统等方面的挑战 加利福尼亚州山景城, 2023 年 5 月 17 日 – 新思科技(Synopsys, Inc.,纳斯达克
2023-05-17 15:43:06229

本周五|超越芯片设计,看头部半导体大厂如何正确打开Synopsys.ai

原文标题:本周五|超越芯片设计,看头部半导体大厂如何正确打开Synopsys.ai 文章出处:【微信公众号:新思科技】欢迎添加关注!文章转载请注明出处。
2023-05-16 04:25:02211

下周五|超越芯片设计,看头部半导体大厂如何正确打开Synopsys.ai

原文标题:下周五|超越芯片设计,看头部半导体大厂如何正确打开Synopsys.ai 文章出处:【微信公众号:新思科技】欢迎添加关注!文章转载请注明出处。
2023-05-13 04:45:02198

超越芯片设计,看头部半导体大厂如何正确打开Synopsys.ai

原文标题:超越芯片设计,看头部半导体大厂如何正确打开Synopsys.ai 文章出处:【微信公众号:新思科技】欢迎添加关注!文章转载请注明出处。
2023-05-12 14:55:02291

思科技利用优化的EDA流程快速啟动台积电N2 製程设计

为了不断满足新一代系统单晶片(SoC) 的严格设计目标,新思科技在台积电最先进的 N2 製程中提供数位与客製化设计 EDA 流程。相较於N3E 製程,台积公司N2 製程採用奈米片(nanosheet
2023-05-11 19:02:351995

思科技正积极打造AI EDA套件

等)等设计流程。EDA 工具大体上分为模拟设计类、数字设计类、晶圆制造类、封装类、系统类等五大类。 现在EDA巨头新思科技正积极打造AI EDA套件;新思科技推出了Synopsys.ai為晶片製造商打造全面性AI EDA套件。 Synopsys.ai为全面性涵盖设计、验证、测试和制造等流程之最先
2023-05-11 18:40:10914

IC设计流程相关名词梳理(含各流程EDA工具梳理)

对设计的功能进行仿真验证,需要激励驱动,是动态仿真仿真验证工具Mentor公司的 Modelsim, Synopsys的VCS,还有Cadence的NC-Verilog均可以对RTL级的代码进行设计验证,该部分称为前仿真,接下来逻辑部分综合之后再一次进行的仿真可称为后仿真
2023-05-09 10:16:53669

SoC设计的IO PAD怎么移植到FPGA原型验证

FPGA原型验证系统要尽可能多的复用SoC相关的模块,这样才是复刻SoC原型的意义所在。
2023-04-19 09:08:15848

EDA+AI=Synopsys.ai:生产力Up Up Up

, 新思科技宣布推出业界首个全栈式AI驱动型EDA解决方案Synopsys.ai ,涵盖设计、验证、测试和模拟电路设计阶段。 我们的目标是帮助客户满足不断变化的市场需求,实现行业领先的功耗、性能、面积(PPA)目标和良率,并覆盖从架构设计到制造的
2023-04-18 21:25:04447

EDA仿真验证环境中的激励、检查和覆盖率

下图是一个典型的EDA仿真验证环境,其中主要的组件就是激励生成、检查和覆盖率收集。
2023-04-15 10:13:061228

一个高效的现代EDA仿真验证流程

下图是一个典型的EDA仿真验证环境,其中主要的组件就是激励生成、检查和覆盖率收集。
2023-04-13 09:27:331433

思科技发布业界首款全栈式AI驱动型EDA解决方案Synopsys.ai,引领芯片设计新范式

Synopsys.ai可为芯片设计提供AI驱动型解决方案,包含数字、模拟、验证、测试和制造模块。AI引擎可显著提高设计效率和芯片质量,同时降低成本。 英伟达(NVIDIA)、台积公司(TSMC
2023-04-04 23:10:07399

思科技发布业界首款全栈式AI驱动型EDA解决方案

来源:新思科技 行业领袖们在2023新思科技全球用户大会上,分享交流AI技术在芯片设计、模拟、验证、测试和制造等方面的应用 摘要: · Synopsys.ai可为芯片设计提供AI驱动型解决方案,包含
2023-04-03 17:19:44406

思科技发布业界首款全栈式AI驱动型EDA解决方案Synopsys.ai

摘要:Synopsys.ai可为芯片设计提供AI驱动型解决方案,包含数字、模拟、验证、测试和制造模块。AI引擎可显著提高设计效率和芯片质量,同时降低成本。·英伟达(NVIDIA)、台积公司(TSMC
2023-04-03 16:03:26

思科技发布业界首款全栈式AI驱动型EDA解决方案Synopsys.ai

验证、测试和制造模块。 AI引擎可显著提高设计效率和芯片质量,同时降低成本。 英伟达(NVIDIA)、台积公司(TSMC)、IBM、联发科(MediaTek)和瑞萨电子(Renesas)均对新思科技的AI驱动型EDA设计策略表示支持,并已利用Synopsys.ai解决方案取得显著成果: 瑞萨电子在减少
2023-04-03 16:02:29552

ST-LINK仿真

ST-LINK仿真器 BURNER 5V
2023-03-28 13:06:38

DAP仿真

DAP仿真器 BURNER
2023-03-28 13:06:20

USB Blaster仿真

USB Blaster仿真器 BURNER 5V
2023-03-28 13:06:20

高速DAP仿真

高速DAP仿真器 BURNER
2023-03-28 13:06:20

ATK-DAP仿真

ATK-DAP仿真器 BURNER 5V
2023-03-28 13:05:53

ATK-HSDAP仿真

ATK-HSDAP仿真器 BURNER
2023-03-28 13:05:52

为什么SoC验证一定需要FPGA原型验证呢??

在现代SoC芯片验证过程中,不可避免的都会使用FPGA原型验证,或许原型验证一词对你而言非常新鲜,但是FPGA上板验证应该是非常熟悉的场景了。
2023-03-28 09:33:16854

已全部加载完成