电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>业界新闻>厂商新闻>TSMC拟大幅提升28nm晶元售价

TSMC拟大幅提升28nm晶元售价

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

印度批准设立三座晶圆厂,打造电子制造中心,年产值达4000亿美元

该联合项目将构建在印度古吉拉特邦的Dholera,总投资为9100亿卢比,月产量预期可达5万片晶圆。该厂工艺涵盖28nm、40纳米至90nm等多个成熟节点,且与力积电的战略合作将提供综合性的技术供给。
2024-03-01 16:32:26228

无意发展至10nm以下,第二梯队晶圆代工厂的成熟工艺现状

梯队的厂商们还在成熟工艺上稳扎稳打。   早在两年前,我们还会将28nm视作成熟工艺以及先进工艺的分水岭。但随着3nm的推出,以及即将到来的2nm,成熟工艺的定义已经发生了变化,分水岭已然换成了T2和T3晶圆厂不愿投入的7nm/8nm工艺
2024-02-21 00:17:002598

2024年日本半导体制造商将新建晶圆制造工厂

在熊本县菊阳町,台积电、索尼和日本电装联合开发了一个12英寸晶圆加工基地,该基地应用12nm、16nm和22nm28nm技术,预计月底建成。此外,其量产时间已定为2024年第四期。
2024-01-30 09:38:35332

台积电日本晶圆厂开幕在即:预计2月24日举行,量产时间确定

目前,台积电已完成与日本的一项联合建设晶圆厂协议,预计在今年2月24日举行投产庆典。日本的这处晶圆厂使用12nm、16nm、22nm28nm等先进制程工艺,自启动以来进展顺利,引来业界广泛关注。
2024-01-29 14:00:42178

台积电晶圆平均售价同比上涨22.8%!

根据台积电最新的财报,2024年第四季度,台积电12英寸晶圆的平均售价同比上涨了22.8%,达到了6611美元。这一涨幅的主要原因在于,台积电的N3(3nm)制程技术的收入占比大幅提升。市场分析
2024-01-25 15:35:34143

国产FPGA介绍-上海安路

计划与国内通信企业展开深度合作。 其FPGA从55/40nm进入主流28nm工艺平台,在器件性能和容量上也都有较大的提升,相应地对FPGA编译软件和IP也提高了要求,28nm器件预计在2020年批量供应。
2024-01-24 10:46:50

国产FPGA介绍-紫光同创

,该型号产品去年全年销售额近1亿。 今年3月,紫光同创推出Logos-2系列高性价比FPGA,采用28nm CMOS工艺,相较上一代40nm Logos系列FPGA性能提升50%,总功耗降低40%,可
2024-01-24 10:45:40

模拟布局中的堆叠MOSFET设计

28nm以下,最大器件长度限制意味着模拟设计者通常需要串联多个短长度MOSFET来创建长沟道器件。
2024-01-15 17:33:02661

恩智浦新一代28nm RFCMOS雷达单芯片系列发布

恩智浦半导体发布汽车雷达单芯片系列新产品。全新的SAF86xx单芯片集成了高性能雷达收发器、多核雷达处理器和MACsec硬件引擎,可通过汽车以太网实现先进的安全数据通信。
2024-01-12 09:31:49229

关于电流提升器和电压提升器的分析?

请问我关于电流提升器和电压提升器的计算是否正确?这两个电路是怎么分析的? 电流提升器由虚短虚断,Uo=RfI,Ⅰ是输入端电流源电流,但是电流提升器哪里电流变大了 同样电压提升器,Uo=(R2+R3)/R2*I,不知道我的计算对不对,总感觉哪里有问题?
2024-01-11 23:57:40

台积电第一家日本工厂即将开张:预生产28nm工艺芯片

这座晶圆厂于2022年4月开始新建,大楼主结构已完工,且办公室部分区域也在今年8月启用。将生产N28 28nm级工艺芯片,这是日本目前最先进的半导体工艺。22ULP工艺也会在这里生产,但注意它不是22nm,而是28nm的一个变种,专用于超低功耗设备。
2024-01-03 15:53:27433

紫光同创PG2L200H关键特性开发板/盘古200K开发板开箱教程

紫光同创PG2L200H关键特性开发板/盘古200K开发板开箱教程! 盘古200K采用紫光同创28nm工艺Logos2系列芯片:PG2L200H-6IFBB484);PG2L200H和DDR3之间
2023-12-28 15:26:19

紫光同创PG2L100H关键特性开发板/盘古100K开发板开箱教程

紫光同创PG2L100H关键特性开发板/盘古100K开发板开箱教程! 紫光同创28nm工艺的Logos2系列:PG2L100H-6IFBG484,PG2L100H和DDR3之间的数据交互时钟频率最高
2023-12-28 15:17:43

中国半导体厂商集体发力28nm及更成熟制程

受美国对高端设备出口限制影响,中国大陆转向成熟制程(28纳米及以上)领域,预计2027年在此类制程上产能达到39%。
2023-12-15 14:56:35337

台积电在日建厂,盼供应链回归

据悉,JASM为台积电、索尼及丰田旗下电装公司的三方合资企业,主要负责经营日本熊本的芯片工厂。未来,工厂将采用22/28nm、12/16nm FinFET制程工艺,预估月产能高达5.5万片300mm晶圆。
2023-12-15 14:22:16183

飞腾派及各种类似派硬件参数对比

TSMC 28nm Starfive JH7110 TSMC 28nm 晨A311D TSMC 12nm CPU 2*FTC664@1.8GHz+2*FTC310@1.5GHz 2
2023-12-14 23:33:28

无锡迪思完成5.2亿B轮融资,加码高端掩模项目

迪思高端掩模项目的28nm产能建设。 据悉,无锡迪思高端掩模项目于2022年底动工,预计2023年底设备Move in,产线将于2024年上半年完成安装调试并通线,届时无锡迪思将具备90~28nm掩模制造能力,技术制程得到跨越式提升。待高端掩模项目全
2023-11-29 17:46:45581

面向 TSMC InFO 技术的高级自动布线功能

面向 TSMC InFO 技术的高级自动布线功能
2023-11-27 17:32:33245

芯片凭啥那么贵!成本在哪里?

掩膜成本就是采用不同的制程工艺所花费的成本,像40/28nm的工艺已经非常成熟,40nm低功耗工艺的掩膜成本为200万美元;28nm SOI工艺为400万美元;28nm HKMG成本为600万美元。
2023-11-06 18:03:291591

台积电、三星、英特尔等发布2nm以上制程路线图

2023~2027年全球晶圆代工成熟制程(28nm及以上)及先进制程(16nm及以下)产能比重大约维持在7:3。中国大陆由于致力推动本土化生产等政策与补贴,扩产进度最为积极,预估中国大陆成熟制程产能
2023-11-02 09:58:23304

5V脉冲信号怎么可以提升28V脉冲?

PCF8591,5V脉冲信号怎么可以提升28V脉冲?
2023-10-25 08:29:41

请问单片机如何控制5V脉冲信号提升28V脉冲?

单片机如何控制5V脉冲信号提升28V脉冲?
2023-10-20 06:23:56

MCU市场分析:IP内核与产品情况

MCU发展趋势   性能:主频普遍在 30~200MHz;外设更 加丰富,性能更高,功 耗更低、安全性更强。   工艺:从最初的0.5微米,进步到了主流的90nm、55nm,有的厂商还用了28nm
2023-10-18 16:07:342

俄罗斯计划2027年开始量产28nm芯片

行业芯事
电子发烧友网官方发布于 2023-10-13 11:51:16

蔚来手机9月28日开始发货 NIO Phone起售价6499

蔚来手机9月28日开始发货 NIO Phone起售价6499 蔚来造手机、小米造车,不知道以后华为会要造什么?或者苹果造车? 蔚来用户需要一款与蔚来汽车无缝连接的手机。所以蔚来手机来了
2023-09-26 18:39:43525

影像二次测量仪器

测量扫描技术相结合,还支持频闪照明和飞拍功能,可进行高速测量,大幅提升测量效率;具有可独立升降和可更换RGB光源,可适应更多复杂工件表面。测量功能1.量测工具:扫
2023-09-26 14:09:18

Cadence扩大TSMC N3E制程IP产品组合,推出新一代224G-LR SerDes IP,助力超大规模SoC设计

内容提要 ●  经过验证的接口 IP,可显著提升 TSMC N3E 制程节点的性能和能效 ●  224G-LR SerDes PHY IP 在 TSMC N3E 制程上实现一次性流片成功
2023-09-26 10:10:01320

台积电3nm月产能明年将增至10万片

台积电推出了世界上第一个3nm智能手机芯片apple a17 pro,该芯片也用于新款iphone 15 pro。据悉,tsmc到2023年为止,将只批量生产苹果的3nm工艺。
2023-09-25 14:25:28616

便携式FPGA实验平台EGO1介绍

板载芯片:该平台板载了Xilinx 28nm工艺的Artix-7系列FPGA芯片,型号为XC7A35T-1CSG324C。
2023-09-17 15:06:073127

台积电3nm产量将大幅增加,已预计6.5万片晶圆

台积电计划到2023年为止,只批量生产苹果的3nm工程,新iphone15的初期订货量将比以前的型号有所减少。因此,预计4/4季度的3纳米工程生产量很难达到当初的预测值80-10万盒。消息人士称,因此有人提出质疑称,tsmc能否在2023年之前实现n3的销售额增长4%至6%的目标。
2023-09-15 10:35:46519

国内二次影像仪品牌

行高速测量,大幅提升测量效率;具有可独立升降和可更换RGB光源,可适应更多复杂工件表面。产品优势稳固移动平台、高测量精度1.精密大理石机台,稳定性好,精度高。2.精密
2023-09-14 15:26:50

如何大幅提升汽车发动机的热效率?

有没有什么办法能让发动机的热效率大幅提升,如果能提升到一半甚至现有水平的一倍,燃油车的未来又会是什么样子呢?
2023-09-12 11:12:23394

苏大维格:28nm***光栅尺周期精度需小于2nm

公开的资料显示,苏大维格他致力于微纳关键技术,柔性智能制造、柔性光电子材料的创新应用,涉及微纳光学印材、纳米印刷、3D成像材料、平板显示(大尺寸电容触控屏,超薄导光板)、高端智能微纳装备(纳米压印、微纳直写光刻、3D光场打印等)的开发和技术产业化
2023-09-11 11:45:593530

苏大维格:28nm***光栅尺周期精度需小于2nm

公开的资料显示,苏大维格他致力于微纳关键技术,柔性智能制造创新,柔性光电子材料的应用,相关若干或光学印刷材料、纳米印刷、3d影像材料平板显示器(大尺寸电容触控屏,超薄导光板)、高级智能麦克风,装备
2023-09-08 11:32:371749

如何设定NM1200为48M CPU Clock?

如何设定NM1200为48M CPU Clock
2023-09-06 07:59:15

iPhone15或使用台积电3nm芯片,A17性能大幅提升?

iPhone15或使用台积电3nm芯片,A17性能大幅提升?  iPhone 15是今年最受期待的设备之一,一如既往,用户对此次新发布抱有很高的期望。许多传言称,这款手机将使用台积电的最新芯片技术
2023-08-31 10:42:571006

华为mate60pro配置参数表,搭载麒麟9000S的华为Mate60 Pro值得买吗?

Mate60Pro搭载麒麟9000S处理器,采用5nm工艺制程,性能和能效均有所提升。配备LPDDR5内存和UFS3.1闪存,提供出色的运行速度和存储能力。支持5G网络和Wi-Fi6E技术,确保高速网络连接
2023-08-31 09:39:59

台积电:已有专业团队研发2nm!;消息称苹果 iPhone 15 系列支持有线 35W 充电

,调动800人首次南北同步,冲刺在中国台湾新竹宝山与高雄厂同步试产及量产。 台积电原先规划在高雄建立两座厂,包括7nm28nm厂,但为应对市场需求调整,目前高雄厂确定导入先进的2nm制程。 产业动态 2、消息称苹果 iPhone 15 系列支持有线 35W 充电 根据国外
2023-08-18 16:50:02362

2次影像测量仪

;中图仪器2次影像测量仪是一种全自动影像测量仪,支持频闪照明和飞拍功能,可进行高速测量,大幅提升测量效率;具有可独立升降和可更换RGB光源,可适应更多复杂工件表面
2023-08-17 10:27:33

新唐Arm9微处理器降低CPU负担同时大幅提升加解密速度的秘密

新唐Arm9微处理器降低CPU负担同时大幅提升加解密速度的秘密
2023-08-10 11:29:21476

中国大陆28nm扩产放缓,低端和移动DDI价格竞争激烈

 值得关注的是,中国大陆仍在持续掀起ddi热潮。在贸易紧张高涨之际,成熟芯片已成为中国大陆关注的焦点。目前,中、高级ddi采用28纳米工艺制作。但业内专家认为,中国大陆的28纳米生产没有达到预期的顺利。还有报道称,生产能力有限。中国大陆面临着价格竞争,但扩张速度已经放缓。
2023-08-08 11:50:38547

请问ARTPI是怎样使用软件I2C读取mpu6050的?

喜报!我国第一台28nm光刻机,交付时间已定!
2023-08-02 16:54:41965

台积电高雄厂28nm计划改为2nm

据台媒援引消息人士报道,由于需要应对 AI 浪潮,台积电将改变高雄建厂计划,计划由原先的“成熟制程”更改为更先进的 2nm 制程,预计 2025 年下半年量产,且相关建厂规划也将在近期宣布。
2023-07-22 16:32:55888

影像仪二次

测量,大幅提升测量效率;具有可独立升降和可更换RGB光源,可适应更多复杂工件表面。测量功能1.量测工具:扫描提取边缘点、多段提取边缘点、圆形提取边缘点、椭圆提取、框
2023-07-20 14:04:07

台积电放弃28nm工厂计划转向2nm

晶圆产业目前正面临着产能过剩的问题,台积电也无法免俗。原计划建设一个28纳米的晶圆厂,但由于市场需求减少,这个计划被取消了。
2023-07-18 15:53:04447

台积电放弃28nm工厂,改建2nm

据了解,台积电已将高雄厂敲定2nm计划向经济部及高雄市政府提报,希望政府协助后续供水及供电作业。因2nm制程将采用更耗电的极紫外光(EUV)微影设备,耗电量比位于南科的3nm更大,台积电高雄厂改为直接切入2nm计划,是否得重做环境影响差异分析,将成各界关注焦点。
2023-07-18 15:19:48682

科普一下先进工艺22nm FDSOI和FinFET的基础知识

泄漏功率仍然是HKMG(High-K Metal Gate)一个主要问题。从下图看出,在28nm的High-K Metal Gate Stack中,leakage power仍然在总功耗中占据主导地位。
2023-07-12 16:24:232882

电池保护IC是多少纳米工艺 锂电池保护板工作原理及应用案例

电池保护IC(Integrated Circuit)的纳米工艺并没有固定的规定或标准。电池保护IC的制造工艺通常与集成电路制造工艺一样,采用从较大的微米级工艺(如180nm、90nm、65nm等)逐渐进化到更先进的纳米级工艺(如45nm28nm、14nm等)。
2023-07-11 15:42:371171

Cadence发布面向TSMC 3nm 工艺的 112G-ELR SerDes IP 展示

3nm 时代来临了!Cadence 在 2023 年 TSMC 北美技术研讨会期间发布了面向台积电 3nm 工艺(N3E)的 112G 超长距离(112G-ELR)SerDes IP 展示,这是 Cadence 112G-ELR SerDes IP 系列产品的新成员。
2023-07-10 09:26:20406

日本将新增一座12英寸晶圆代工厂

近日,台积电业务发展高级副总裁张凯文在日本横滨举行的新闻发布会上表示,台积电目前正在日本和美国建厂,其中日本熊本工厂将重点推出12nm/16nm和22nm/28nm生产线。
2023-07-07 15:39:01380

IP_数据表(I-3):16Gpbs SerDes for TSMC 28nm HPC+

IP_数据表(I-3):16Gpbs SerDes for TSMC 28nm HPC+
2023-07-06 20:21:220

IP_数据表(I-10):USB2.0 Transceiver for TSMC 28nm HPC+

IP_数据表(I-10):USB2.0 Transceiver for TSMC 28nm HPC+
2023-07-06 20:21:030

IP_数据表(Z-3):GPIO for TSMC 16nm FF+

IP_数据表(Z-3):GPIO for TSMC 16nm FF+
2023-07-06 20:20:310

IP_数据表(Z-2):GPIO for TSMC 40nm N40EF2

IP_数据表(Z-2):GPIO for TSMC 40nm N40EF2
2023-07-06 20:20:122

IP_数据表(Z-5):3.0V StndardCell for TSMC 40nm LP

IP_数据表(Z-5):3.0V StndardCell for TSMC 40nm LP
2023-07-06 20:19:241

IP_数据表(Z-1):GPIO for TSMC 28nm HPM/HPC/HPC+

IP_数据表(Z-1):GPIO for TSMC 28nm HPM/HPC/HPC+
2023-07-06 20:19:040

IP_数据表(I-20):FPD-Link Transmitter for TSMC 28nm HPC

IP_数据表(I-20):FPD-Link Transmitter for TSMC 28nm HPC
2023-07-06 20:18:392

IP_数据表(I-19):FPD-Link Receiver for TSMC 28nm HPC+

IP_数据表(I-19):FPD-Link Receiver for TSMC 28nm HPC+
2023-07-06 20:18:200

IP_数据表(I-6):SATA PHY for TSMC 28nm HPC+

IP_数据表(I-6):SATA PHY for TSMC 28nm HPC+
2023-07-06 20:18:070

IP_数据表(I-4):16Gpbs SerDes for TSMC 28nm HPC

IP_数据表(I-4):16Gpbs SerDes for TSMC 28nm HPC
2023-07-06 20:17:540

IP_数据表(I-1):Combo Serdes PHY for TSMC 28nm HPM

IP_数据表(I-1):Combo Serdes PHY for TSMC 28nm HPM
2023-07-06 20:17:410

IP_数据表(I-9):USB2.0 Transceiver for TSMC 40nm LP

IP_数据表(I-9):USB2.0 Transceiver for TSMC 40nm LP
2023-07-06 20:12:510

IP_数据表(Z-4):1.8V StndardCell for TSMC 28nm HPC+

IP_数据表(Z-4):1.8V StndardCell for TSMC 28nm HPC+
2023-07-06 20:12:360

IP_数据表(I-2):Combo PHY for TSMC 28nm HPM

IP_数据表(I-2):Combo PHY for TSMC 28nm HPM
2023-07-06 20:12:261

IP_数据表(I-5):SerDes PHY for TSMC 28nm HPC+

IP_数据表(I-5):SerDes PHY for TSMC 28nm HPC+
2023-07-06 20:11:570

IP 数据表: 3.0V Standard Cell for TSMC 40nm LP

IP 数据表: 3.0V Standard Cell for TSMC 40nm LP
2023-07-05 19:47:260

IP 数据表: 1.8V Standard Cell for TSMC 28nm HPC+

IP 数据表: 1.8V Standard Cell for TSMC 28nm HPC+
2023-07-05 19:47:130

IP_数据表(I-28):MIPI D-PHY Tx/Rx for Samsung 28nm

IP_数据表(I-28):MIPI D-PHY Tx/Rx for Samsung 28nm
2023-07-05 19:46:141

IP_数据表(I-18):MIPI D-PHY Receiver for TSMC 40nm LP

IP_数据表(I-18):MIPI D-PHY Receiver for TSMC 40nm LP
2023-07-05 19:45:561

IP_数据表(I-26):USB2.0 Transceiver for Samsung 28nm

IP_数据表(I-26):USB2.0 Transceiver for Samsung 28nm
2023-07-05 19:45:460

IP_数据表(I-27):MIPI D-PHY Tx/Rx for TSMC 40nm LP

IP_数据表(I-27):MIPI D-PHY Tx/Rx for TSMC 40nm LP
2023-07-05 19:45:111

中国半导体在成熟制程扩张仍属强势

中国晶圆代工厂28nm市场,发展速度迅速。
2023-07-05 18:16:58585

今日看点丨台积电:不排除在日本生产先进芯片 2nm研发顺利;电科装备实现离子注入装备28纳米工艺制程全覆

示日本工厂将以日本客户为中心,预计将有持续且旺盛的需求。据此前消息,该工厂规划生产22/28nm以及12/16nm芯片,月产能目标为5.5万片晶圆。台积电在发布会上强调,2nm制程工艺(N2)研发顺利,能够按照此前目标于2025年量产。此外,张晓强还表示,256M
2023-07-03 10:49:13731

台积电:下半年晶圆厂产能利用率将大幅提升

消息人士称,英伟达从第二季度开始增加了对台积电的订单。tsmc随着ai gpu需求的增加,正在快马加鞭地生产英伟达芯片,已经确定了到2024年为止的订单。
2023-06-30 11:47:03329

今日看点丨小米印度公司将进行业务重组;28nm改40nm?印度要求鸿海Vedanta合资晶圆厂重提申请

中,该提案正在荷兰政府进行审查。   2. 28nm 改40nm ?印度要求鸿海Vedanta 合资晶圆厂重提申请   据报道,鸿海集团
2023-06-30 11:08:59934

回顾下功耗的定义及其组成部分并总结降低功耗的常用方案

随着工艺节点的不断发展(现在普遍是28nm,22nm,16nm,14nm,甚至有的都在做7nm),芯片的性能需求越来越高,规模也越来越大
2023-06-29 15:24:111741

联电躺赢!苹果偏爱28nm OLED驱动芯片

苹果OLED显示驱动芯片供应商主要有三星System LSI、LX Semicon、联咏科技,其中三星System LSI显示驱动芯片由联电、三星Foundry代工,LX Semicon 显示驱动芯片由台积电、格芯、联电代工,联咏科技显示驱动芯片由台积电、联电代工。
2023-06-26 15:34:43588

二次和2.5次影像仪

和3D复合测量。还支持频闪照明和飞拍功能,可进行高速测量,大幅提升测量效率;具有可独立升降和可更换RGB光源,可适应更多复杂工件表面。仪器特点1.非接触测量,使得测量
2023-06-26 14:54:30

求分享NM1200和NM1330详细的数据手册

跪求新唐NM1200和NM1330详细的数据手册
2023-06-15 08:57:31

【视频】紫光同创Logos2系列PG2L100H关键特性评估板@盘古100K开发板#小眼睛FPGA盘古系列开发板

紫光同创Logos2系列PG2L100H关键特性评估板@盘古100K开发板#小眼睛FPGA盘古系列开发板#基于紫光同创28nm工艺的Logos2系列PG2L100H芯片,挂载2片16bit数据位宽
2023-06-12 18:02:28

台积电已启动2nm试产前置作业,将导入英伟达DGX H100系统使用cuLitho加速

台积电2nm制程将会首度采用全新的环绕闸极(GAA)晶体管架构。台积电此前在技术论坛中指出,相关新技术整体系统性能相比3nm大幅提升,客户群先期投入合作开发意愿远高于3nm家族初期,并可量身订做更多元化方案。
2023-06-07 14:41:38487

中芯国际下架14nm工艺的原因 中芯国际看好28nm

的基础上,实现了国内14nm 晶圆芯片零的突破,并在梁孟松等专家的带领下,向着更加先进的芯片制程发起冲锋。 然而,最近在中芯国际的公司官网上,有关于14nm芯片制程的工艺介绍,已经全部下架,这让很多人心存疑惑,作为自家最为先进的
2023-06-06 15:34:2117913

聊聊Spartan-7到底有哪些特色与优势

Spartan-7依然延续了28nm工艺,更加巩固了Xilinx在28nm的领导地位
2023-05-30 09:02:161651

请问PCA2129的wafer有多少nm

PCA2129的wafer有多少nm
2023-05-29 08:50:39

请问SPC5644的wafer有多少nm

SPC5644的wafer有多少nm
2023-05-25 08:46:07

三大顶流半导体厂商高端工艺逐鹿,你更看好谁

在代工行业,采用先进的工艺节点更能带来明显的成本竞争优势。2020年,台积电(TSMC)是业界唯一同时使用7nm和5nm工艺节点用于IC制造的企业,此举也使得TSMC每片晶圆的总收入大幅增加,达到1634美元。这一数字比GlobalFoundries高66%,是UMC和中芯国际的两倍多。
2023-05-20 14:58:50628

Cadence 发布面向 TSMC 3nm 工艺的 112G-ELR SerDes IP 展示

3nm 时代来临了!Cadence 在 2023 年 TSMC 北美技术研讨会期间发布了面向台积电 3nm 工艺(N3E)的 112G 超长距离(112G-ELR)SerDes IP 展示,这是
2023-05-19 16:25:12784

Cadence发布面向TSMC 3nm工艺的112G-ELR SerDes IP展示

3nm 时代来临了!Cadence 在 2023 年 TSMC 北美技术研讨会期间发布了面向台积电 3nm 工艺(N3E)的 112G 超长距离(112G-ELR)SerDes IP 展示,这是 Cadence 112G-ELR SerDes IP 系列产品的新成员。
2023-05-19 15:23:07675

MLCC龙头涨价;车厂砍单芯片;台积电28nm设备订单全部取消!

%。西安二厂预计将生产13.5万片,比之前的14.5万片减少了约7%。业界观察人士认为,三星选择砍掉部分NAND产能,因为当前内存市场形势惨淡。 【台积电28nm设备订单全部取消!】 4月消息,由于
2023-05-10 10:54:09

505nm、785nm、808nm、940nm激光二极管TO56 封装、 500mW 100mw

808nm 激光二极管 TO56封装 500mW XL-808TO56-ZSP-500 、XL-TO18-785-120、XL-9402TO5-ZS-1W、XL-505TO56-ZSP-100
2023-05-09 11:23:07

二次影像测量仪

Novator系列二次影像测量仪将传统影像测量与激光测量扫描技术相结合,实现2.5D和3D复合测量。还支持频闪照明和飞拍功能,可进行高速测量,大幅提升测量效率;具有可独立升降和可更换RGB光源,可
2023-05-08 10:02:04

台积电放弃28nm扩产?

台积电投资高雄28纳米厂传出计划生变,供应链透露高雄厂将改为先进制程且扩大投资。高雄市长陈其迈强调,台积电投资高雄方向不变,相关工程也都顺利推动中,相信高雄绝对是台积电投资台湾的最佳伙伴
2023-04-19 15:10:47852

【揭秘】紫光盘古系列:盘古100K开发板

的应用特点,开发的一套全新的国产FPGA开发套件。MES100P开发板采用紫光同创28nm工艺的FPGA 作为主控芯片(logos2系列:PG2L100H-6IFBG676),板卡电源采用圣邦微
2023-04-13 16:23:47

英飞凌推出采用28nm芯片技术的SECORA™ Pay 产品组合 具有将出色的交易性能与易于集成的全系统解决方案相结合

28nm。创新的产品设计使英飞凌进一步突破了支付卡技术工艺的极限。借此,该产品还为各大区域市场的支付生态系统提供一个可靠采购选项的最新技术。新产品系列在市场同类产品中是首款将领先的 28 nm芯片技术应用于嵌入式非易失性存储器的产品。其旨在缓解支付行业在成熟技术节点遇到的半导体短缺问题。
2023-04-04 14:16:18755

Kneron KL630 基于耐能智慧神经网路架构的超高弹性配置之AI 相机应用方案

Kneron KL630系列芯片采用TSMC 28nm先进技术节点,是专为各种专业和消费IP摄像机设计的新一代SoC,包括安全摄像机、家庭摄像机、视频门铃摄像机、防抖摄像机、180°和360°全景摄像机,以及作为家用电器和机器人相机。
2023-03-29 10:57:36438

半导体Chiplet缓解先进制程焦虑

摩尔定律在制造端的提升已经逼近极限,开始逐步将重心转向封装端和 设计端。随着 AI、数字经济等应用场景的爆发,对算力的需求更加旺盛, 芯片的性能要求也在不断提高,业界芯片的制造工艺从 28nm 向 7nm 以 下发展,TSMC 甚至已经有了 2nm 芯片的风险量产规划。
2023-03-28 13:49:351544

Chiplet无法规模化落地的主要技术难点

随着 AI、数字经济等应用场景的爆发,对算力的需求更加旺盛, 芯片的性能要求也在不断提高,业界芯片的制造工艺从 28nm 向 7nm 以 下发展,TSMC 甚至已经有了 2nm 芯片的风险量产规划。
2023-03-28 13:48:15892

已全部加载完成