电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>物联网>赛灵思7纳米FPGA芯片助力工业医疗客户赢得市场

赛灵思7纳米FPGA芯片助力工业医疗客户赢得市场

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

2017年FPGA芯片进入云端 手机封测厂商涌入

大步迈进。目前台积电7纳米先进制程已可支援新款FPGA芯片,后段封测厂日月光、矽品等亦纷搭上FPGA列车。  IC通路业者安驰表示,尽管年底是半导体产业传统淡季,但安驰代理的高阶FPGA芯片
2016-12-23 16:47:33

7系列FPGA芯片-的“雄韬伟略”

本帖最后由 ycq654263138 于 2012-9-21 16:32 编辑   电子发烧友网讯:FPGA 7系列芯片正以燎原之势席卷整个行业。在本文,电子发烧友网小编将带领大家一起
2012-09-21 13:46:16

FPGA Editor应用有哪些技巧?

Clayton Cameron是公司在多伦多市的一名资深现场应用工程师(FAE)。他于2000年加入公司,在渥太华的办公处工作,主要为电信客户提供支持。作为一名现场应用工
2019-10-16 08:21:00

FPGA助力芯片成本降低,ASIC会否坐以待毙?

(Xilinx)及美国阿尔特拉(Altera)为代表的FPGA大型供应商异常活跃。例如,在2009财年10~12月的结算中,创下了季度销售额历史新高。其原动力是“新兴市场国家中业务的扩大
2012-11-07 20:25:53

FPGA助力芯片成本降低,ASIC会否坐以待毙?

(Xilinx)及美国阿尔特拉(Altera)为代表的FPGA大型供应商异常活跃。例如,在2009财年10~12月的结算中,创下了季度销售额历史新高。其原动力是“新兴市场国家中业务的扩大”(该公司
2012-11-20 20:09:57

FPGA市场未来成长潜力有多大?

的持续成长。欧洲地区的年复合增长率将达到7.7%,当地对道路安全的重视可望带动区域性需求。  FPGA市场的主要供应商为(Xilinx)、英特尔(Intel)、Achronix
2017-06-13 09:50:26

FPGA芯片选型

做pcie3.0协议分析仪,需要选取什么样的FPGA芯片,请大神指点一下,最好是
2016-08-11 15:19:45

FPGA发展前途

是并行处理,在同一时间处理大量不同的任务,因而在涉及到复杂计算时可把DSP的一些任务卸载到FPGA中处理。从FPGA另一巨头的举动亦可看出FPGA替代DSP的风潮。通过在其FPGA中引入
2013-12-25 19:37:36

FPGA平台在非传统领域的应用

“从绝对市场份额上看,传统的通信市场仍是低成本FPGA的主要领域。但消费电子和汽车应用正在快速增长,低成本、低功耗、小体积的FPGA将为这些应用提供更强的发展动力。”公司(Xilinx)通用
2019-07-22 07:32:26

FPGA提供快速、简单、零风险的成本降低方案

EasyPath-6器件完全不涉及任何附加设计条件、返工或者对 FPGA 设计的重新优化,也不涉及线路板的重新布局。一旦设计文件提交给后,晶圆即会被按照标准FPGA相同的电气参 数进行测试,然后根据客户
2012-08-11 18:17:16

FPGA是Xilinx好,还是Altera好?

, 你是无法说好或者不好的。就像孩子看电影电视, 常常问:这个是好人还是坏人? 我们不能给出精确的结论。在FPGA市场领域, 公司是FPGA的发明者, 无晶圆代工模式的先锋,也是积极把FPGA
2012-02-28 09:59:27

FPGA是用altera多还是的多呢

FPGA是用altera多还是的多呢,我买的开发板是altera的,但是很多人推荐说学习的好
2016-01-09 21:27:25

FPGA设计之浮点DSP算法实现【工程师作品】

FPGA设计之浮点DSP算法实现,DSP算法是很多工程师在设计过程中都会遇到的问题,本文将从FPGA设计的角度来讲解浮点DSP算法的实现。FPGA设计之浮点DSP算法实现是工程师最新力作,资料不可多得,大家珍惜啊1FPGA设计之浮点DSP算法实现[hide][/hide]
2012-03-01 15:23:56

FPGA设计时序约束指南【工程师力作】

的一条或多条路径。在 FPGA 设计中主要有四种类型的时序约束:PERIOD、OFFSET IN、OFFSET OUT 以及 FROM: TO(多周期)约束。FPGA设计时序约束指南[hide][/hide]`
2012-03-01 15:08:40

工业FPGA与MCU之争,鹿死谁手?

工业安全等巨大商机更是铺天盖地而来。嵌入式系统作为兵家必争的滩头阵地,主控芯片商战况火热。 随着、阿尔特拉、莱迪等一众 FPGA 全球顶级大厂携更高集成度、灵活、延迟小、处理速度快和整体成本
2014-07-22 13:49:58

7系列采用FPGA电源模块

。ROHM与安富利公司共同开发7系列FPGA及Zynq®–7000 All Programmable SoC的评估套件Mini-Module Plus 用的电源模块。安富利公司已经开发出多款
2018-12-04 10:02:08

FPGA原理图例子之s3astarter

`FPGA原理图例子之s3astarter 一向是FPGA领域里的领先者,运用FPGA需要深入的理解它的工作原理,小编亲子整理了s3astarter 的经典fpga原理图分享给电子工程师们。FPGA原理图例子之s3astarter [hide][/hide]`
2012-03-16 10:41:19

FPGA对DLP数字影院投影仪产生了哪些影响?

公司(Xilinx)日前宣布NEC子公司NEC Display Solutions有限公司的三款DLP数字影院投影仪产品,均采用了Virtex®-5 FPGA系列产品。
2019-08-19 07:12:03

FPGA设计大赛参赛者自评分表格下载

FPGA设计大赛参赛者自评分表格下载自评分表填写指引:参赛者须于提交设计作品时一并呈交自评分表。每一个参赛作品最高可获得10分自评分。请在适当的方格上打勾。参赛者作品自评分表格下载:[hide
2012-04-24 15:07:27

FPGA该怎么应对内窥镜系统架构的挑战?

  什么是FPGA?如何帮助内窥镜制造商克服复杂的设计约束,生产出极具竞争优势的产品?如何帮助他们成功构建外形小巧的低功耗内窥镜摄像头、高性价比的摄像机控制单元(CCU),以及多功能、低成本的图像管理设备?  
2019-09-17 06:31:55

fpga设计比赛火爆进行中

fpga设计比赛于4月23日上线,得到了广大电子工程师特别是fpga爱好者的大力关注和广泛支持。本次大赛支持个人报名和团体报名,其中团队报名数量达到了20个团队。还没有参加比赛的电子工程师
2012-06-06 14:49:12

ISE® 设计套件11.1版对FPGA有什么优化作用?

每一版本都提供了完整的FPGA设计流程,并且专门针对特定的用户群体(工程师)和特定领域的设计方法及设计环境要求进行了优化。那大家知道ISE® 设计套件11.1版对FPGA有什么优化作用吗?
2019-07-30 06:52:50

Spartan开发板使用困境记录 精选资料分享

Spartan开发板使用困境记录原理图和接口主要是对照核心板的原理图,一般的接法就是系列的单片机,连接好电源和下载器,记得预先安好驱动,驱动安装成功与否能够在设备管理器处查看。作者困境
2021-07-13 08:42:10

Verilog(FPGACPLD)设计小技巧

Verilog(FPGACPLD)设计小技巧
2012-08-19 22:52:02

Virtex-6 HXT FPGA ML630提供参考时钟电路图

Virtex-6 HXT FPGA ML630评估套件采用SiTime电子发烧友振具体型号为:SIT9102AI-243N25E200.0000,而目前针对这一型号sitime推出了抖动更低
2014-11-17 15:07:35

Zynq-7000可扩展处理平台让编程流程更简单

Zynq-7000可扩展处理平台(EPP)将双ARM Cortex-A9 MPCore处理器系统与可编程逻辑和硬IP外设紧密集成在一起,提供了灵活性、可配置性和性能的完美组合。围绕其刚刚推出
2019-05-16 10:44:42

公司亚太区销售与市场副总裁给XILINX客户的信

尊敬的客户朋友们:在此,我谨代表公司与您分享一个激动人心的喜讯: 3 月1 日,公司宣布全球第一片28nmFPGA 芯片(7K325T) 成功量产了!该里程碑式信息的发布,不仅是
2012-03-22 15:17:12

推出全球最大容量的FPGA – Virtex UltraScale+ VU19P

自适应和智能计算的全球领先企业公司(Xilinx, Inc.,(NASDAQ:XLNX))今天宣布推出全球最大容量的 FPGA – Virtex UltraScale+ VU19P,从而进一步
2020-11-02 08:34:50

有哪几种ISE设计套件配置版本 ?

有哪几种ISE设计套件配置版本 ?
2021-04-30 06:30:50

FPGA用什么开发工具编程,有没有大佬分享一下安装包

FPGA用什么开发工具编程,有没有大佬分享一下安装包
2018-05-24 17:51:38

的DDR3读写地址一直重复怎么办?

最近在用的DDR3,用的AXi4接口,我写入的地址是按照突发长度来的,连续给8个读的地址,但是在DDR3端,dq_addr 一直在1418,1000,1010,0003,0002 等几个地址中
2016-06-24 10:38:18

高性能40nm Virtex-6 FPGA系列通过全生产验证

【来源】:《电子设计工程》2010年02期【摘要】:<正>公司与联华电子共同宣布,采用联华电子高性能40nm工艺的Virtex-6FPGA,已经完全通过生产前的验证
2010-04-24 09:06:05

(XILINX)全新7系列FPGA详述

(XILINX)全新7系列FPGA详述
2012-08-14 12:20:22

AMD正收购Xilinx,规模或超300亿美元

了 1000 亿美元,这得益于新冠疫情居家办公提升了 PC、游戏主机以及其他设备的市场需求,而这些设备使用了 AMD 芯片主要被称为现场可编程逻辑门阵列(FPGA)的微芯片,是该领域的龙头公司
2020-10-10 15:41:19

EIMKT求购Xilinx()微处理器 原装现货

的EIMKT工业电子市场网,目前EIMKT工业电子市场网需求购一批Xilinx()的微处理器,如有现货可直接点击:EIMKT工业电子市场网联系我们,欢迎入驻EIMKT工业电子市场
2019-10-18 11:46:45

XilinxFPGA技术及应用线上公开课

` 本帖最后由 MGJOY 于 2017-4-10 15:07 编辑 本周三,4月12日,FPGA技术及应用线上公开课。欢迎大家观看、学习交流~分享主题【FPGA人工智能领域技术及应用】嵌入式视觉领域技术和解决方案机器学习方面的技术和解决方案ADAS/自动驾驶方面的应用`
2017-04-10 15:06:16

Xilinx方案

能做方案的,请联系
2019-01-21 19:31:40

”抢楼活动第二轮,中奖楼层公布!

`{:4_122:}{:4_122:}抢楼啦!!“”抢楼活动第二轮中奖楼层公布号外号外{:4_104:}:为了答谢各位坛友们的大力支持,我和我的小伙伴们决定在增加5个中奖楼层,让各位中奖的几率
2013-10-11 10:40:34

【AD新闻】新CEO访华绘蓝图,7nm ACAP平台要让CPU/GPU难企及

市场的发展: 这些市场包括八大市场领域:汽车、无线基础设施、有线通信、音频、视频与广播、航空航天、工业、科学与医疗、测试、测量与仿真以及消费类电子技术。这些主流市场客户仍然是的核心,公司将继续
2018-03-23 14:31:40

【PYNQ-Z2申请】基于PYNQ-Z2平台的图像实时力学测量

项目名称:基于PYNQ-Z2平台的图像实时力学测量试用计划:申请理由本人在图像辅助力学测量领域有三年的研究经验,曾设计过类似基于光学及图像的微纳力学传感器,想借助发烧友论坛和
2019-01-09 14:49:25

为什么说已经远远领先于Altera?

Altera和20年来都在FPGA这个窄众市场激烈的竞争者,然而Peter Larson基于对两个公司现金流折现法的研究表明,是目前FPGA市场的绝对领先者。
2019-09-02 06:04:21

什么是丰富目标设计平台?

今年年初,率先在FPGA领域提出目标设计平台概念,旨在通过选用开放的标准、通用的开发流程以及类似的设计环境,减少通用工作对设计人员时间的占用,确保他们能集中精力从事创新性的开发工作。
2019-08-13 07:27:15

使用MATLAB & Simulink Add-on插件面向Versal AI引擎设计

MATLAB & Simulink Add-on插件是将 ModelComposer 和 System Generator forDSP完美结合的统一工具。
2021-01-28 06:33:40

全球FPGA市场现状和发展前景展望

概念和特点比较简单,没有完全形成气候。   :重点布局深耕中国市场 公司目前在中国内地设有6家办事处,公司很多项重要的区域性业务均以中国为基地。例如,亚太区技术支持中心设在上海。另外,针对
2023-11-08 17:19:01

史上最强FPGA芯片行业综述

FPGA与Intel芯片能效对比相对IntelArria 10 SoC系列CPU器件,FPGA器件可助力深度学习、计算机视觉运算效率提升3倍至7倍。企业采取新架构(视觉数据传输至FPGA加速边缘服务器
2021-07-04 08:30:00

史上最强FPGA芯片行业综述

FPGA与Intel芯片能效对比相对IntelArria 10 SoC系列CPU器件,FPGA器件可助力深度学习、计算机视觉运算效率提升3倍至7倍。企业采取新架构(视觉数据传输至FPGA加速边缘服务器
2021-07-04 08:30:00

哪位大神能提供款的捕捉频率高于400m,LVDS引脚数有130个,初学者请多多指教

哪位大神能提供款的捕捉频率高于400m,LVDS引脚数有130个,初学者请多多指教
2015-08-07 08:58:08

回收MAXIM芯片回收VIVO手机主控

正与行业 协作。“例如,三星与赛合作进行 5g 商用部署,开发可用作5g商用网络背后关键处理技术的技术芯片;佰才帮的许多产品中使用了的无线前端和相关。除此之外,还是o-ran
2021-07-28 11:22:27

回收Xilinx芯片 收购芯片

回收Xilinx带板芯片, 回收工厂XILINX系列IC:XC3S1500FGG676EGQ、XC5VLX50-1FFG676、XC5VLX110-1FFG676C
2021-12-17 10:02:19

回顾Elecfans开放日之“跟安富利学FPGA工业应用“

Programmable技术,助力智能工业系统”15:00-15:10 休息时间15:10-16:30 自由分享+主题讨论16:30-17:00 结束【活动奖品】黑色双肩包,圆珠笔,笔记本【活动咨询】活动咨询
2013-11-01 13:48:38

FPGA中使用ARM及AMBA总线

国外的融合技术专家展示了一项基于FPGA的数据采集系统,用于合成孔径成像技术。采用了Xilinx ISE设计软件,支持ARM AMBA AXI4接口。文风犀利,观点新颖,FPGA中使用ARM及AMBA总线中不可多得的资料在FPGA中使用ARM及AMBA总线[hide][/hide]
2012-03-01 15:48:17

基于FPGA的EtherCAT主站运动控制

基于FPGA的EtherCAT主站总线控制 ,论坛有做运动控制这方面的技术吗?目前我已实现带32轴同步运行,同步抖动±75ns,控制精度125us。感兴趣的可以一起探讨下
2018-07-23 12:00:39

基于FPGA的卷积神经网络实现设计

作者:Nagesh Gupta 创始人兼 CEOAuviz Systems Nagesh@auvizsystems.com凭借出色的性能和功耗指标, FPGA 成为设计人员构建卷积神经网络
2019-06-19 07:24:41

如何使用FPGA加速包处理?

FAST包处理器的核心功能是什么如何使用FPGA加速包处理?
2021-04-30 06:32:20

如何利用28纳米工艺加速平台开发?

一半,而性能提高两倍。通过选择一个高性能低功耗的工艺技术,一个覆盖所有产品系列的、统一的、可扩展的架构,以及创新的工具,将最大限度地发挥 28 纳米技术的价值, 为客户提供具备 ASIC 级功能
2019-08-09 07:27:00

怎么利用FGPA实现降采样FIR滤波器?

怎么利用FGPA实现降采样FIR滤波器?这种滤波器在软件无线电与数据采集类应用中都很常见。
2019-08-15 08:21:22

提交FPGA设计方案,赢取FPGA开发板

“玩转FPGA:iPad2,开发板等你拿”活动持续火爆进行中……………………活动得到了广大电子工程师积极强烈的支持,为了回报电子工程师和网站会员,现在只需提交fpga设计方案,就有机会获得
2012-07-06 17:24:41

的开发环境ISE软件下载地址

刚开始学FPGA,求他的ISE软件下载地址,我在网上没搜到。谢谢了
2012-08-02 09:52:12

求xilinx的XC7V2000T这块FPGA的开发板原理图,万谢

请问各位大神,谁有XC7V2000T这块FPGA的开发板原理图,求一份,多谢
2015-09-07 17:05:03

玩转FPGA (xilinx)FPGA设计大赛圆满结束

了解产品的机会,利用FPGA器件,开发设计产品,进一步提高FPGA设计能力和水平。  大赛参与情况  本次大赛主题是基于(xilinx)FPGA芯片进行作品设计(芯片型号和应用领域不限
2012-09-06 11:52:48

玩转FPGA (xilinx)FPGA设计大赛获奖名单!!!

  本次大赛主题是基于(xilinx)FPGA芯片进行作品设计(芯片型号和应用领域不限)。大赛历时3个多月,共有284名FPGA设计爱好者报名参与此次大赛,参赛选手中包括工程师42人、工程管理或
2012-09-06 11:54:16

玩转FPGA,FPGA设计大赛开赛啦

方案入围比赛 准备芯片等用品DIY进行中……5月25日—7月13日发贴记录DIY过程,参与讨论评选优秀DIY项目作品/颁奖7月14日—7月23日为获奖者颁奖活动评委何宾:北京化工大学信息科学
2012-04-23 09:31:16

玩转FPGA,FPGA设计大赛活动细则,参赛必看

7月21日—7月31日评选获奖作品,颁发奖品大赛规则:报名参加赛FPGA设计大赛参赛者可任意选择FPGA芯片型号作为参赛芯片进行设计。设计作品的应用领域不限制,可以任意选择应用领域。鼓励
2012-04-24 14:40:58

详解All Programmable Smarter Vision解决方案

详解All Programmable Smarter Vision解决方案
2021-06-02 06:56:12

请教关于FPGA国产芯片选型的问题?

以前的设备,数据处理用FPGA+单片机的方式,FPGA用于数据正交计算处理,单片机用于触摸屏显示,FPGA芯片Spartan6系列,单片机用MSP430。现在要求国产化,需要重新选型
2020-09-21 18:59:27

请问FPGA的SoC将朝什么趋势发展?

过去一年中,FPGA巨头(Xilinx)在中国大举构建生态系统,其速度和力度让人吃惊。2006年末,公司董事会主席、总裁兼CEOWimRoelandts来华宣布了“促进中国电子设计创新
2019-10-28 06:10:28

超详细的FPGA芯片解读 精选资料推荐

国内超过100亿元的FPGA市场中,国产市占率仅为4%。目前,全球FPGA市场基本被四大巨头垄断:Xilinx()、Intel(英特尔,此前收购了Altera)、Lattice(莱迪)、Mic...
2021-07-30 06:32:06

这颗是限制料还是翻新料?

丝印查不到系列型号,引脚数量也对不上所有型号规格,也没有韩国产地
2023-02-24 17:01:32

追求性能提升 使用8GB HBM2显存

季度内。  FPGA芯片这两年大热,厂商对性能的追求也提升了,继Altera之后(Xilinx)公司现在也宣布推出基于HBM 2显存的Virtex UltraScale+系列FPGA芯片,该芯片
2016-12-07 15:54:22

选择(Xilinx)FPGA 7系列芯片的N个理由

  电子发烧友网讯:FPGA 7系列芯片正以燎原之势席卷整个行业。在本文,电子发烧友网小编将带领大家一起走近Xilinx的FPGA 7系列芯片,从全新FPGA 7系列芯片的介绍、芯片优点、芯片
2012-09-06 16:24:35

采用FPGA实现DisplayPort详细教程【内部资料】

公司高级产品营销经理 Neal KendallQuantum Data市场营销经理采用FPGA实现DisplayPort详细教程【内部资料】[hide][/hide]
2012-03-01 11:10:18

高价回收系列IC

(XILILNX )系列IC,高价回收C-MEDIA系列IC.芯片. 高价回收.CAT系列IC.芯片..高价回收GENSIS系列IC.芯片..高价回收FTDI系列主控IC.芯片..高价回收
2021-04-06 18:07:50

高性能GSPS ADC为基于FPGA的设计解决方案带来板载DDC功能

的混叠现象。单个8抽取DDC能够使Artix-7 FPGA系统可支持的ADC数量提高至四倍。将输入信号通过混频降至基带时,由于过滤了负像,因而会出现6 dB的信号损失。NCO还会额外产生一个
2019-06-14 05:00:09

:“玩转FPGA (xilinx)FPGA设计大赛”获奖奖品展示

  电子发烧友网讯:由(xilinx)公司和华强PCB网赞助,电子发烧友网主办的玩转FPGA设计大赛已经圆满结束。本活动获奖名单已经公布,详见:玩转FPGA (xilinx
2012-09-06 14:33:50

Xilinx/ XCS40XL-5PQ240C FPGA现场可编程逻辑器件 IC FPGA 192 I/O 240QFP

品牌XILINX/封装240-PQFP批次08+数量3500湿气敏感性等级 (MSL)3(168 小时)产品族嵌入式 - FPGA(现场可编程门阵列)系列Spartan®-XLLAB/CLB
2022-04-19 09:45:33

XC7A50T-1FGG484C FPGA可编程逻辑器件XILINX/

XC7A50T-1FGG484C FPGA可编程逻辑器件XILINX/ALINX SoM AC7A50T,基于Artix-7 XC7A50T-1FGG484C,由FPGA + 2 DDR3
2022-06-17 17:53:59

790.被并入AMD对中国FPGA厂商有什么意义?

fpga
小凡发布于 2022-10-05 02:52:44

已全部加载完成