电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>接口/总线/驱动>Artix-7 USB设计

Artix-7 USB设计

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

Artix-7 FPGA DDR SDRAM支持

嗨,我们计划在我们的设计中使用Artix-7A50T器件,支持1Gb DDR SDRAM内存。你能澄清下面的问题:1.它需要任何内存控制器吗?2.如果是这样,软IP需要购买或免费?3.请告诉我们逻辑资源利用情况等...谢谢Pench
2020-04-03 09:55:20

Artix-7 FPGA介绍、概述

Avnet 设计的 Artix-7 50T FPGA评估套件是完全可定制的开发工具套件,非常适合嵌入式设计人员对灵活低功耗平台的需求。Artix7 50T FPGA评估板是一套完整的系统,它把嵌入式处理器系统所需的所有功能和接口都集成到一个小小的芯片上。相关资料打包下载
2016-08-19 15:32:34

Artix-7“XC7A200T-FBG676-2C / I”与“XC7A200T-2FB676I”相同吗?

请帮忙,我想知道:Artix-7“XC7A200T-FBG676-2C / I”与“XC7A200T-2FB676I”相同吗?提前致谢。
2020-03-27 07:56:07

Artix-7上有多少LVDS输入/输出?

Artix-7上有多少LVDS输入/输出?我需要一半可用于输入而另一半用于输出。
2020-08-04 09:22:23

Artix-7和Kintex-7对​​时序收敛的影响?

使用ARTIX-7(XC7A100TFGG484-2)芯片进行编译。但是,我的计时结果非常糟糕。一个大TNS。我确信已经对设计应用了适当的约束。该设计的逻辑使用率非常低,不到该设备的10%。然后,我
2020-08-17 08:40:58

Artix-7用户i/o引脚损坏

我正面临着Artix-7的问题。我们的设计采用带有CMOS(LVCMOS25)输出的ADC,通过CMOS缓冲器与Artix-7 XC7A200T(FFG1156)连接。我们的ADC为16位,130
2020-04-07 12:26:15

Artix-7预计发货日期是什么时候

你好。我有一个基于斯巴达3AN的当前FPGA设计,我打算将它升级到spartan6。但是现在他们宣布了Artix-7,我可能会等待它。所以问题是,大致猜测第一批芯片什么时候会出现。我只需要很小的数量来开始开发。谢谢布伦
2020-06-11 10:07:27

FPGA开发板的选择是Spartan-6还是Artix-7

的HDMI白皮书,这应该加速我的开发。但是,基于Artix-7的Nexys 4主板的第7代容量和新的Vivado Design Suite兼容性也很吸引人。重复每个高点进行比较:Atlys董事会: IC
2019-09-19 09:58:42

FPGA:Artix-7 DONE引脚问题

我正在使用Artix-7(xc7a15tftg256-1)作为Vivado 2014.1的软件包。 DONE引脚状态有问题。编程后DONE引脚应为高电平(3.3V),但我只有680mV。如果我切换I / O引脚,I / O引脚将无法工作。那么,任何人都可以纠正这个问题吗?
2020-04-08 09:45:56

LVDS如何采用Artix-7进行采样?

XAPP523 LVDS 4x异步过采样文档在参考设计部分列出了Kintex和Virtex-7作为目标器件。我想在Artix-7上使用这个设计。 Artix-7没有列出的原因吗?谢谢。
2020-07-21 08:17:18

Meet ARTY@$99:Artix-7 35T开发套件开箱视频

观看视频了解 ARTY 开发套件的功能与配置。(Artix-7 FPGA,关注每瓦性能,降低成本,适用面广的优异选择)。
2016-07-26 19:49:19

TLA7-EasyEVM是基于Xilinx Artix-7系列FPGA处理器开发板

1.开发板简介基于Xilinx Artix-7系列FPGA处理器;FPGA芯片型号为XC7A100T-2FGG484I,NOR FLASH 256Mbit,DDR3 512M/1GByte可选,兼容
2020-09-04 11:33:24

[视频]创建Artix-7 FPGA的PADS Logic原理图符号

The Artix-7 is part of the 7-Sseries of FPGA's from Xilinx. Containing 1,156 pins, creating
2014-02-13 09:24:15

Artix-7 50T FPGA申请】以太网图像传输验证系统

申请理由:项目描述:本人将使用Artix-7 50T开发板进行图像处理相关的开发测评,计划如下:1. 通过PMOD接口连接图像采集卡,图像采集卡上有模拟摄像头,通过ADC芯片将模拟信号转化为数字信号
2016-10-11 18:15:33

Artix-7 50T FPGA申请】基于Artix-7的智能家居

,在此基础上设计过基于FPGA的电子琴。同时此前在电子发烧友成功申请获得过云路由和树莓派的试用,认真对待每次试用机会,认真撰写心得体会。如果获得Artix-7 FPGA的试用机会,试用进度如下:1、认真阅读
2016-11-10 12:34:54

Artix-7 50T FPGA试用体验】Artix-7 50T -从入手开始-1

非常有幸在本论坛成功申请到了artix-7的开发板,从学校开始,就一直用的altera的片子,毕业后的一些项目,为了顺手,也就一直用altera的,只不过是从c2换成了c4,对一些简单的任务还可
2016-10-24 18:50:16

Artix-7 50T FPGA试用体验】Artix-7 50T FPGA板卡文件安装与使用

工程时,在器件板卡选型时,可以选择Boards面板,选择Artix-7 50T Evaluation Board板卡。无需知道FPGA芯片详细信息。5、在Block Design设计时,可以直接拖动板卡资源到设计面板中。这就是简单的LED实例化过程,在嵌入式设计时,相当方便用户使用。
2016-11-28 15:15:16

Artix-7 50T FPGA试用体验】Artix-7 50T简介

[size=22.0000pt]掌握新的一门技术,都先从这是什么开始。Artix-7 50T是什么?首先它在硬件分类上属于FPGA,是一款xilinx公司推出的FPGA芯片。低功耗和低成本,我们拿到
2016-11-23 22:36:52

Artix-7 50T FPGA试用体验】xilxin Artix-7 系列FPGA相关特性

Artix-7开发板上的核心器件,也就是XC7A50T-1FTG256C相关内容进行学习。只有充分了解了板卡上所使用的器件及其特点,才能知道自己为什么要选择这个器件,以及使用这个器件,能做一些什么样的工作
2016-11-01 15:52:18

Artix-7 50T FPGA试用体验】一 初上手

Artix-7 50T套件,可自动设置工程的其它属性 至此,新工程的框架搭建完毕 另外,板卡上自带USB-TO-JTAG调试模块,通过USB数据线连接后,可自动识别Artix-7 FPGA芯片 至此,板卡初上手,一切准备工作就绪,下一步可以直接FPGA开发了`
2016-11-01 14:40:21

Artix-7 50T FPGA试用体验】二 板卡细探(一)

此篇先细致探索一下板卡的硬件资源。 如下图所示,主要以Artix-7 50T FPGA为核心,外围有电源管理和时钟电路,通信、输入输出端口,和存储器。电源管理: 板卡电源输入有两种方式1) 由DC
2016-12-12 22:12:39

Artix-7 50T FPGA试用体验】以太网图像传输验证系统-结项报告

板子,板载程序的测试;然后开始搭建软件,安装vivado开发软件,安装板子JTAG、USB-TO-UART驱动,为开发做好了一切准备。 随后细谈板子上的硬件资源,包括电源、时钟、Artix-7 50T
2016-12-19 08:12:39

Artix-7 50T FPGA试用体验】以太网接口调试

Implementation和Generate Bitstream完成vivado工程的搭建;然后进行硬件环境的搭建,如下图所示,两个以太网口分别通过USB网卡连接至PC,右侧用于将数据从PC发送到Artix-7
2016-12-13 20:07:58

Artix-7 50T FPGA试用体验】四 LwIP以太网调试

LwIP在Artix-7 50T上的应用。 直接在官网上下载Artix-7 50T的LwIP参考设计,使用vivado 2014.4打开。 如下图,为系统模块图,核心是microblaze软核 具体可看如下
2016-12-14 15:56:23

Artix-7 50T FPGA试用体验】基于7A50T FPGA开发套件的工业通信管理机设计(五)结项

Artix-7 50T FPGA试用体验】基于7A50T FPGA开发套件的工业通信管理机设计(一)【Artix-7 50T FPGA试用体验】基于7A50T FPGA开发套件的工业通信管理机设
2016-12-27 10:36:50

Artix-7 50T FPGA试用体验】基于官方BSP的PetaLinux工程创建

本帖最后由 chy520cvv 于 2016-12-14 19:05 编辑 利用官方的板卡进行PetaLinux开发的好处之一就是文件资料丰富,同时会有相关支持。但是Artix-7 50T
2016-12-14 19:04:11

Artix-7 50T FPGA试用体验】开发板初探一

,进行IIC测试~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ~~Artix-7 50T - IIC EEPROM Write/Read
2016-10-20 22:33:22

Artix-7 50T FPGA试用体验】(开箱)简单测试+开发环境搭建

。 反面二、简单测试接下来是串口测试,学生party不像老司机拿到板卡就调试串口,测试板卡的资源情况。希望以后养成这种习惯吧!我用的是串口调试助手1.3,测试数据如下:Artix-7 50T - IIC
2016-12-07 08:46:04

使用Artix-7 FPGA设计电路板,其中电源轨的斜率小于200usec

我有一个使用Artix-7 FPGA(不使用GPT接口)的电路板设计,其中VCCINT,VCCBRAM和MGTAVCC电压轨连接到一个1.0V的VRM电源。电源顺序正确(VCCINT>
2020-04-08 10:05:14

免费供应TMS320C6678/TMS320C665x+Kintex-7/Artix-7、TMS320C6748+Spartan-6开发板试用

Artix-7/Kintex-7 FPGA平台:(1)TL6678F-EasyEVM(2)TL6678-EasyEVM + TL-K7FMC(3)TL665xF- EasyEVM(4
2019-07-16 14:57:55

创龙Xilinx Artix-7系列FPGA 高速采集卡

HSAD采集卡接口1 图 3TL-A7HSAD采集卡接口2 图 4TL-A7HSAD采集卡接口3 图 5TL-A7HSAD采集卡接口4 TL-A7HSAD是一款由广州创龙基于Xilinx Artix-7系列
2016-08-24 15:01:21

利用 Artix-7 FPGA 设计高性能 USB 器件

总线供电的定制器件。让我们来看一下如何以 Artix-7 MicroBlaze 平台为基础构建由总线供电的 USB 2.0 高速器件。在 Anritsu 公司,我们成功利用该方案开发出一款最新的微波功率
2016-07-27 17:14:50

基于Artix-7的安徽四核同步模块化大时代冗余系统可靠吗

。  MicroCore实验室目前在开发超小型,基于微定序器的嵌入式处理器内核具有非常多的经验,其处理器内核可以在任何FPGA或ASIC技术中实现。他们最近实现了基于Artix-7 以 MCL51 为内核的同步模块冗余
2017-10-10 10:28:21

基于Xilinx Artix-7系列FPGA的开发板处理器/NOR FLASH

前言TLA7-EVM开发板是一款由广州创龙基于Xilinx Artix-7系列FPGA自主研发的核心板+底板方式的开发板,可快速评估FPGA性能。核心板尺寸仅70mm*50mm,底板采用沉金无铅工艺
2020-09-23 16:27:12

如何使用Artix-7 FPGA进行以太网协同仿真?

你好,我有兴趣使用Artix-7 FPGA进行以太网协同仿真(在Simulink中通过System Generator)。在System Generator中,我看到AC701
2020-07-15 08:45:40

如何使电脑wifi通信到Pmod wifi并在Artix-7 FPGA中接收一些数据?

尊敬的先生/女士, 我是新的Xilinx开发环境。我正在使用具有Artix-7 Xilinx FPGA的NEXYS4学生开发板。我打算开始一个项目,需求就是这样......从使用wi fi
2020-04-30 07:46:17

如何减轻SEU对Artix-7 FPGA的影响

据我所知,Xilinx建议采用SEM来减轻SEU对7系列FPGA的影响。但Artix-7 FPGA不支持ISE 14.2中的SEM,这与Xilinx的建议(http://www.xilinx.com
2020-07-14 07:01:12

如何在Artix-7上运行了一个1.0625 Gb / s链接进出Serdes?

我们在Artix-7上运行了一个1.0625 Gb / s链接进出Serdes。在接收方,如果我们拉光纤断开链路然后重新连接光纤,Serdes将不断指示rxbyterealign并且从不同步到输入
2020-08-21 11:05:45

如何在ARTY Artix-7 developme板上设置和编程Digilent PmodSD和Digilent PmodGPS?

有人可以指导我如何在ARTY Artix-7 developme板上设置和编程Digilent PmodSD和Digilent PmodGPS。我对如何实际设计和编程pmod外设有很多困惑,我可以节省一些GPS时间到SD卡。感谢您的任何帮助。
2019-10-22 08:51:12

如何将Artix-7 Nesyx 4 DDR板重置为默认配置?

嗨,我是一名对FPGA很新的学生。我从大学实验室借了一台Artix-7 Nexys 4 DDR板,试图用它来编写一个基本的全加器。按照教程后,我意识到我已经删除了默认配置文件,并将我的全加器程序
2019-10-08 10:29:12

如何将Spartan 6迁移到Artix-7

我们有一个为XC6SLX25开发的设计,我们希望转移到Artix-7以节省电力。我们可以通过将设计迁移到Artix-7来实际期望节省电力吗?
2020-05-01 12:44:17

如何用Artix-7替换Virtex-II Pro>

由于过时,我正在寻找用Artix-7替换Virtex-II Pro,驱动几个光纤链路。设计本身是相当基本的,并且使用功率估算器电子表格,所有电压轨都小于0.5A。设计仍然必须满足原始设计的要求,因此
2020-07-17 13:31:26

如何绘制Artix-7原理图?

您好,我的名字是JungMin Lee。我正在绘制一个包含Aritx-7的原理图。我把AC701(artix7评估板)用于我的设计。但我有一个问题。如果我没有ADC,MGT等......我只想获得
2019-09-09 08:46:19

有没有人可以通过控制icape2给我一个vhdl示例来设计7系列FPGA(artix-7)的DPR?

有没有人可以通过控制icape2给我一个vhdl示例来设计7系列FPGA(artix-7)的DPR?
2020-07-23 09:56:56

视频: Artix-7 FPGA:如何在大批量应用中使用高速SerDes

赛灵思 Artix-7 FPGA 是业界唯一的在低端器件上整合了高速收发器的方案,该方案提供了自适应均衡、2D 眼图以及IBIS-AMI仿真模型来简化针对成本敏感型应用的高速串行设计,观看视频,4分钟教您搞定高速SerDes端口设计。
2016-07-27 17:29:59

视频:看看Xilinx Artix-7评估套件AC701里面都有些什么

本视频是AC701开发套件的详细演示。AC701是搭载Xilinx Aritx-7 FPGA的开发套件,主要面向大批量、成本敏感型应用。Artix-7 FPGA具有业界领先的每瓦性能比优势,AC701可以让客户快速地开始那些对成本很敏感的应用的原型设计。
2016-07-26 19:54:48

请问Artix-7去耦:>>每个电源引脚1个电容?

我知道,还有一篇关于脱钩的问题。我*已经*读过其他人,我想我理解Xilinx保守建议的电容器尺寸/数量与查看自己的应用程序之间的差异,以及找到更加个性化的答案。话虽如此,我对Artix-7 PCB
2020-07-28 08:45:46

请问Artix-7的内部结构在XILINX中是保密的吗?

我想得到“Artix-7的内部结构”图片......它在XILINX中是保密的吗?我将它用于我的家庭作业......如果可以,请给我..
2019-11-08 16:52:06

请问如何在Artix-7 FPGA之间实现DDR差分信令?

亲爱的先生我尝试在Artix-7 FPGA之间进行像LVDS这样的DDR差分信号传输。但是我不能在Artix-7中使用SelectIO IP。有人能告诉我示例设计吗?谢谢。
2020-08-18 09:34:09

请问如何在具有抖动的Artix-7 GTP数据流的情况下提高CDR性能?

亲爱的先生我试图在Artix-7中使用GTP传输串行数据。遗憾的是,由于串行数据流包含一些抖动,Artix-7 GTP接收器中有时会出现数据错误。另一方面,收发器参考时钟是干净的。我想我应该提高
2020-08-24 09:44:56

请问我可以参考哪些Spartan-6 / Artix-7文件检查这些设备的serdes是否能满足STM-4抖动要求?

您好,Xilinx支持,你能列出哪些我可以参考的Spartan-6 / Artix-7文件检查这些设备的serdes是否能满足STM-4抖动要求?谢谢,特吕克以上来自于谷歌翻译以下为原文Hi
2019-07-23 12:26:03

达芬奇Artix-7

达芬奇Artix-7 DEVB_124X92MM
2023-03-28 13:06:25

XC7A100T-2CSG324I Artix-7可编程逻辑FPGA

FPGA, Artix-7, MMCM, PLL, 285 I/O, 628 MHz, 101440单元, 950 mV至1.05 V, FBGA-484Xilinx Artix®-7 FPGA系列
2023-05-10 16:03:24

赛灵思Artix-7 FPGA 数据手册:直流及开关特性

本文是关于赛灵思Artix-7 FPGA 数据手册:直流及开关特性的详细介绍。 文章中也讨论了以下问题: 1.全新 Artix-7 FPGA 系列有哪些主要功能和特性? Artix-7 系列提供了业界最低功耗、最低成本
2012-06-12 10:24:12118

Xilinx首批Artix-7 FPGA正式出货 为便携式和小型产品树立全新性能标准

All Programmable技术和器件的全球领先企业赛灵思公司(Xilinx, Inc. (NASDAQ:XLNX) )今天宣布其旗下首批Artix-7 FPGA 系列产品正式出货
2012-07-18 16:36:22784

赛灵思28nm系列的里程碑–首批Artix-7 FPGA出货

赛灵思首批Artix™-7 FPGA 系列产品正式出货。随着首批Artix-7 A100T器件面向客户开始供货,意味着赛灵思所有7系列器件和Zynq-7000系列产品均已开始以芯片形式供货,成就了赛灵思28nm系列器
2012-07-20 12:14:071759

Artix-7 FPGA AC701开发板-板载Debug Checklist

Artix-7 FPGA AC701 开发板 - 板载Debug Checklist。
2016-08-08 18:27:32100

基于Artix-7 50T FPGA开发板的近距离感应器Pmod设计示例

基于Artix-7 50T FPGA开发板的近距离感应器Pmod设计示例(Vivado 2014.4 - Proximity Sensor Pmod Example Design
2016-08-03 20:40:3652

基于Artix-7 50T FPGA开发板EthernetLite lwIP应用实例

Artix-7 50T FPGAEthernetLite应用实例,This example design utilizes the light-weight IP (lwIP) protocol
2016-08-04 09:04:27201

Artix-7 50T FPGA开发板接口功能测试实例

Artix-7 50T FPGA开发板接口功能测试实例,This document describes a MicroBlazeTM design implemented and tested on the Xilinx Artix-7 50T Evaluation board。
2016-08-04 09:17:07198

Xilinx Artix-7系列FPGA教程资料及设计实例_Artix 7开发板电路图和例程

Artix-7是赛灵思28nn FPGA系列之一,它采用采用小型化封装、统一的 Virtex 系列架构,相对于 Spartan-6系列而言,Artix-7系列功耗降低了一半,成本降低了35
2016-08-04 09:23:11

Artix-7 DSP性能演示

Xilin新推出小型产品的Artix-7 FPGA,我们将向大家讲解:Artix-7 DSP性能演示。
2018-06-05 07:46:003916

Artix-7 功耗优势演示

Xilinx推出新品小型产品的Artix-7 FPGA,我们将为大家讲述:Artix-7 功耗优势演示。
2018-06-04 13:47:004116

面向大批量应用的的Artix-7 FPGA的能耗优势演示

Xilinx公司面向大批量应用的的Artix-7 FPGA的能耗优势演示。
2018-06-04 02:47:002852

采用Artix-7 XCA75T FPGA的高速多通道数字转换器

Spectrum Instrumentation公司推出了一款新的基于M2p 平台以及59xx模块的高速多通道数字转换器,主芯片采用赛灵思公司的Artix-7 XCA75T FPGA。XCA75T
2018-07-09 14:02:002377

Xilinx的Artix-7 FPGA AC701评估套件专门支持高性能系统

赛灵思公司(Xilinx)今天宣布Artix-7 FPGA AC701评估套件正式推出,专门支持开发满足低成本、低功耗应用需求的高性能系统。这款最新评估套件配套提供All Programmable
2018-09-26 16:27:002248

Artix-7收发器的特点性能介绍

Artix-7 A200T收发器可提供高达6.6 Gb / s的线速。
2018-11-21 06:18:002804

Artix-7 FPGA AC701评估套件的特点性能与应用

查看Artix-7 FPGA AC701评估套件,该套件具有领先的每瓦特Artix-7系列系统性能,可为您的成本敏感型应用提供快速原型设计。
2018-11-21 06:19:003510

Artix-7 100T FPGA成功案例介绍

See why Cobham chose to use the Artix-7 100T FPGA to reduce the size, weight and power consumption of one of their latest products.
2018-11-21 06:14:003891

如何使用Artix-7 FPGA减少功耗

在本视频中,您将了解Artix-7 FPGA的整体系统功耗和成本。 我们将快速回顾一下Artix-7 FPGA架构,逻辑架构,第四代DSP48E1片,6.6 Gbps GTP收发器,PCIe Gen2硬块,存储器接口,模拟..
2018-11-21 06:10:003457

Artix-7和前代产品相比有哪些优势

与前几代产品相比,功耗降低了50%,Artix-7 A100T FPGA可提供100,000瓦以下的逻辑单元。
2018-11-21 06:09:003427

Artix-7 ARTY FPGA评估套件的演示

该视频演示了基于Artix-7的低功耗ARTY FPGA评估套件,该套件采用-1LI Artix-7 FPGA。 对于演示,该套件的工作功率不到半瓦,运行真实设计,并配有软处理器。
2018-11-29 06:23:002506

有着最高DSP带宽的Artix-7 A100T FPGA器件介绍

Artix-7 A100T FPGA提供同类产品中最高的DSP带宽。
2018-11-27 07:00:004207

基于Artix-7 35T的评估套件的ARTY介绍

当我们开启ARTY板时,观看此视频。 ARTY完全关注Artix-7 FPGA的适应性和每瓦特性能以及降低成本的优势。
2018-11-27 06:27:002229

XA Artix-7 FPGA芯片的选型手册免费下载

本文档的主要内容详细介绍的是XA Artix-7 FPGA芯片的选型手册免费下载。
2019-02-18 16:42:3517

XA ARTIX-7 FPGA的数据手册免费下载

Xilinx Xa Artix-7(汽车)FPGA以最低的成本和功率进行了优化,具有适用于大容量汽车应用的小型封装。与斯巴达6系列相比,设计师可以利用更多的每瓦逻辑。
2019-02-21 15:33:548

Artix-7 FPGA AC701 评估套件产品描述

Artix-7 FPGA AC701 评估套件包含拥有领先单位功耗系统性能的 Artix-7 系列,快速满足成本敏感型应用。该套件包含硬件、设计工具、IP 核 以及预验证参考设计的所有基本元件。
2019-07-31 16:45:312444

digilent Nexys 4:Artix-7 FPGA训练板介绍

搭载Xilinx®最新Artix®-7 FPGA芯片的Nexys 4 Artix-7 FPGA训练板是一个完整的,即用的数字电路开发平台。相比早期版本,经优化后的Artix-7 FPGA芯片能够实现
2019-11-14 16:33:027355

Artix-7 FPGA数据表下载

Artix-7 FPGA数据表下载
2021-05-21 14:34:468

创龙Xinlinx Artix-7系列FPGA采集卡的EEPROM、电源接口和拔码开关

TL-A7HSAD是一款由广州创龙基于Xilinx Artix-7系列FPGA自主研发的高速数据采集卡,可配套广州创龙TMS320C6655、TMS320C6657、TMS320C6678开发板
2022-01-10 12:46:041

Artix-7 FPGA:成本优化器件中的性能和带宽

电子发烧友网站提供《Artix-7 FPGA:成本优化器件中的性能和带宽.pdf》资料免费下载
2023-09-18 10:07:350

已全部加载完成