电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>FPGA/ASIC技术>基于FPGA控制AD9854产生正弦波

基于FPGA控制AD9854产生正弦波

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

基于AD9854的正交扫频信号源设计

本文首先阐述了AD9854原理,其次介绍了AD9854引脚功能及工作过程,最后介绍了信号的产生及显示控制以及系统软件设计方法。
2018-05-18 08:29:194022

AD9854

AD9854是否需要外加时钟源。
2015-04-12 13:57:33

AD9854

请问哪位大侠用过AD9854,把它的原理图库和封装库发我一下,谢了email:fuliangcheng1985@126.com
2012-05-27 14:31:16

AD9854 ASK矩形输出不正确

你好:我们在配置AD9854工作在ASK模式下,梯形可以正常输出,矩形输出不了,按程序配置后输出的是正弦波。给你发的程序UD_CLK都是外部更新的,内部更新的程序我也做了,效果和这个一样。我们是用
2018-12-21 09:00:10

AD9854产生三角调频信号

如题,要用AD9854产生三角调频信号,最高能产生多高频率的啊?买了一块开发板,主控芯片用的STM32,调了一个星期了还是没出来调频信号啊,哪位大神能帮忙指导指导程序的问题啊
2014-05-14 14:23:30

AD9854如何才能正确输出方波?

我用的是ad9854的single-tone模式,输出正弦频率没有问题!但我现在想让其输出方波,应该怎么设置9854呢? 我照参考电路的示例,将9854正弦输出接到VINP或者VINN上,但是在VOUT 上没有任何输出! 请各位专家指点一下,9854如何才能正确输出方波,谢谢!
2023-11-27 07:11:59

AD9854fpga配置代码

`求助AD9854的verilog配置代码,谢谢大神们了`
2013-10-21 22:11:08

AD9854的中文资料

AD9854的中文资料
2013-08-30 15:09:09

AD9854的中文资料

AD9854的中文资料
2013-08-30 15:10:16

AD9854的扫频程序

谁有STM32控制AD9854扫频程序呢
2017-07-11 09:08:28

AD9854输出波形有失真

手上有一个AD9854的模块,之前所用的程序,产生正弦波没有问题,正常的应该是产生1MHZ正弦波当改过控制寄存器后,波形就不正常了,改回原来的也没恢复模式是Single Tone AdressValue1D001E241F002000
2018-09-25 14:18:43

ad9854

ad9854的数据控制电压(5伏)超过他的供电电压(3.3v) 这个芯片还能正常工作吗
2013-09-01 22:34:30

ad9854

谁做过ad9854输出幅值可调,它可以从0v到满幅输出,谁知道满幅是多少吗?幅值步进为多少?
2017-08-09 15:09:36

ad9854数字频率合成器相位抖动问题。

` 想请教各位大大,最近做了一个ad9854的dds模块,然后一兆以上的正弦波产生了如图所示的相位抖动,已经确定了不是代码的问题。因为使用商业化模块测试代码可以使用。另外附上我画的pcb,大概
2019-07-03 23:13:43

fpga如何控制AD9910产生正弦波

fpga产生控制指令控制AD9910产生正弦波
2017-07-01 20:13:55

产生mv级别的正弦波

小弟最近在做可调正弦波的设计。任务书要求产生30mv~3.3v有效值的正弦波。我现在是用FPGA控制AD9744,然后接一个AD818,。用示波器测到的AD818输出端倒是能生成30mv的正弦波,但是干扰很大,有许多的毛刺。求各位大神赐教。。。。。。。
2017-04-13 17:24:14

正弦波产生电路

正弦波产生电路 正弦波( 控制信号)产生电路由单片机AT89C51、MAx038、DAC0832构成,如图2所示。A飞9C51的几。和P::控制选定基频和波形,DAC0832相应
2009-03-06 11:15:47

AD9833产生正弦波频率不对

`单片机控制AD9833产生正弦波产生的频率与设计频率老对不上号,如图改变Fout的值,输出频率几乎不变,有木有做过的大神看看是什么问题`
2015-05-08 16:45:59

AD9851和AD9854资料

AD9851和AD9854资料
2013-09-01 16:25:16

SPWM怎么产生正弦波

本帖最后由 神一滴 于 2014-9-24 00:19 编辑 SPWM信号经低通滤波后可变换为直流电压,窄带范围内的方波经低通滤波后可变换为相应频率的正弦波 那么spwm出来以后后面的滤波
2014-07-18 20:45:55

STM32产生正弦波

我现在用的是STM32F103RCT6型的单片机,我想请问,STM32的DAC+Timer+DMA产生正弦波的原理是什么?它们是怎么联动的?我看了官方给的正弦波程序,但有些地方不明白。譬如,那个正弦表是怎么来的?作用是什么?
2015-07-03 18:32:24

STM32产生双极性正弦波

项目需要产生100mv双极性正弦波,STM32的DAC只能输出正电压单极性,有没有不使用双电源运放的方案?
2023-09-19 17:53:48

STM32的AD9854 DDS模块调试该怎么做?

,Q两路正交调制等多种功能。当AD9854作为一个精确的时钟源时,它能产生高稳定度,频率一相位一幅度均可编程的正弦和余弦输出。其主要特点有:工作频率高 其工作频率高达300 MHz,其电路结构允许产生
2018-08-28 09:10:05

spwm产生正弦波的问题

我是用28335产生了一组方波,通过MC33186后,得到的波形是乱的。后来看资料,知道MC33186是直流电机,我使用的是低通滤波器。网上说直流电机要使用带通滤波器,否则滤掉的是交流。请问我得不到正弦波,是滤波器的问题吗?
2015-03-24 21:29:11

【DIY信号发生器】AD9854方案

AD9854产生一高稳定的频率、相位、幅度可编程的正弦和余弦信号,作为本振用于通信,雷达等方面。AD9854的DDS核具有48位的频率分辨率(在300M系统时钟下,频率分辨率可达1uHZ)。输出17
2014-06-17 17:13:00

【原创】直流无刷电机SPWM正弦波控制原理

问题。02 直流无刷电机的正弦波控制简介直流无刷电机的正弦波控制即通过对电机绕组施加一定的电压,使电机绕组中产生正弦电流,通过控制正弦电流的幅值及相位达到控制电机转矩的目的。与传统的方波控制相比
2021-07-05 10:56:55

为什么ad9854产生正弦波幅度会随频率变化?

ad9854产生正弦波幅度为什么会随频率变化?(幅度已经通过编程固定)
2019-07-18 01:30:58

利用AD9854生成一个迸发型的正弦波是否可行

我准备利用AD9854生成一个迸发型的正弦波,准备利用FSK模式,并控制输出正弦信号的幅值。我准备的做法是这样的:(1)单调谐模式下控制信号的幅值;(2)设置两个频率控制字,一个高频,一个低频;(3
2018-12-20 09:30:02

可以将正弦波输入到FPGA输入吗?

嗨,我的要求是将120MHZ时钟分频为12Mhz。可用于FPGA的输入(120Mhz)是正弦波。我可以将正弦波输入到FPGA输入吗?我正在使用DCM来划分频率。建议请谢谢以上来自于谷歌翻译以下为原文
2019-05-08 08:55:53

如何使用FPGA控制其输出正弦波

如何使用FPGA控制其输出正弦波
2021-10-26 08:04:17

如何判断AD9854芯片

各位智士,我现在用FPGAAD9854配置以后,让它产生扫频正弦信号,开始的时候是可以产生的,后来我断电又回了继续上电,AD9854不工作了就,我也不知道是什么问题,因为这是我第一次用这个芯片,我
2013-11-08 19:05:51

如何利用DMA产生正弦波

DAC的主要特点是什么?DAC由什么组成?如何利用DMA产生正弦波
2022-02-28 06:33:26

很好的AD9854的程序

`很好的AD9854的程序`
2013-08-30 11:54:21

怎么用SPWM产生正弦波

刚开始学DSP,高手有谁能说说产生DSP产生正弦波的原理或者程序吗?
2015-09-17 11:23:42

新手求助~如何产生特定时间的正弦波

如题,例如我想产生一个10s的正弦波,就是程序运行后产生正弦波持续实际时间10s,我用设置采样率和采样数的方法,vi运行后还是不到1就跑完了,搞不懂,求大神指导怎么实现。
2016-02-28 22:09:58

求430单片机控制ad9854产生6Mhz正弦信号的程序和硬件连接方式

求430单片机控制ad9854产生6Mhz正弦信号的程序和硬件连接方式
2015-05-05 21:48:24

AD9854产生正交信号的程序分享

刚买了AD9854芯片,需用单片机控制产生正交信号,求大神给个程序
2018-10-31 15:28:31

求助C8051单片机控制DDS芯片AD9854产生一个线性调频信号的程序

本帖最后由 elecfans 于 2015-5-17 16:04 编辑 求大神帮忙 我要用C8051单片机控制DDS芯片AD9854产生一个线性调频信号,求程序。。。。
2015-05-17 12:16:37

求助关于AD9854芯片的问题

我正在做关于AD9854芯片的信号发生器,一直不明白怎样给这个芯片写控制字,让它产生波形,就算不用这个芯片FPGA也能做一个信号发生器,但是加上这个芯片我就不太懂怎么样利用芯片做个信号发生器。有谁做过这个课题吗?真心求帮助~~~~~~先谢谢大家了
2013-03-12 15:23:56

求教FPGA输出正弦波问题

我通过rom查表实现了正弦波的输出,用DA芯片转换成模拟正弦波1.输出结果为1VPP的正弦波,如何生成2VPP的正弦波那?可通过更改DAC的电路实现吗?2.由于用的8位二进制,0为波谷,255为波峰,导致DAC转换的模拟信号有直流偏置,正弦波上偏移量为幅值大小,这个要如何解决那?
2017-06-08 20:01:38

求解AD9854幅度的输出问题

怎样才能让AD9854输出3.3V的正弦波,它的最大输出幅度与什么有关。为什么我的最大输出幅度只有1V
2016-12-13 08:53:21

求解答:stm32驱动AD9854

`在网上(几个电子设计类的专业网站)找到了几个Ad9854的驱动代码,内容是一样的,但是我自己在用那个代码的时候,main函数里面是产生1MHZ的正弦波,但是出来的结果是周期性的68MHZ的非正弦波
2015-04-10 11:51:01

ad9854产生单音正弦波外部io update工作不正常

ad9854产生单音正弦波。refclk 300M,输出60M.选用内部 io update一切正常。设置为外部io update时,先关掉300M,再运行程序,一切正常。如果先开300M ,再运行程序,工作不正常。不知道什么原因?
2018-09-20 14:30:19

ad9854产生单音正弦波,外部io update工作不正常是什么原因?

ad9854产生单音正弦波。refclk 300M,输出60M. 选用内部 io update一切正常。设置为外部io update时,先关掉300M,再运行程序,一切正常。如果先开300M ,再运行程序,工作不正常。不知道什么原因?
2023-12-19 07:35:58

用PWM产生正弦波的方法

PWM产生正弦波的要点如下:1、PWM的频率(F_PWM)与正弦波频率(F_SIN)之间的对应关系与采样点数(S_NUM)有着密切的关系,即F_SIN=F_PWM/S_NUM;2、PWM如何变成正弦波;3、用方波对正弦波进行采样4、如何让占空比按照正弦规律变化
2022-02-09 06:26:19

用两片AD9834输出正交的正弦波

`电赛做信号的都知道,正交的正弦信号是很有用的,比如在做锁相放大器、自由轴发测RLC等等。而ADI提供了直接可以产生正交信号的AD9854,但他价格实在太贵,而且发热严重。ADI官方提供了
2015-05-31 09:50:52

直流无刷电机的正弦波控制简介

的解决这个问题。直流无刷电机的正弦波控制简介直流无刷电机的正弦波控制即通过对电机绕组施加一定的电压,使电机绕组中产生正弦电流,通过控制正弦电流的幅值及相位达到控制电机转矩的目的。
2021-09-07 08:53:08

直流无刷电机简易正弦波控制介绍

直流无刷电机的正弦波控制即通过对电机绕组施加一定的电压,使电机绕组中产生正弦电流,通过控制正弦电流的幅值及相位达到控制电机转矩的目的。与传统的方波控制相比,电机相电流为正弦,且连续变化,无换相电流
2023-09-28 06:46:30

自激振荡一定产生正弦波吗?

正弦波振荡和自激振荡有本质区别吗?为什么正弦波振荡一定是正弦波,比如RC正弦波振荡电路为什么只能产生正弦波而没有别的波形?
2023-04-24 15:48:17

请教一下AD9854的使用

我是一个学生,最近正在学习使用AD9854芯片,现在已经可以用它输出正弦波和扫频信号,现在想用AD9854产生三角,却不知道怎么办了,百度了一个程序好像也用不起来。向网友们请教一下,怎么用AD9854产生三角
2015-08-08 16:54:43

请问AD9854产生的chirp信号的最短时宽是多少呢?

大家好!我想用芯片AD9854做一个能发射chirp信号的DDS,但是由于实验距离的限制,希望产生的chirp信号的时宽尽可能小,我想请问下在满足chirp信号的带宽为20MHZ的情况下,AD9854产生的chirp信号的最短时宽是多少呢?如果Chirp信号的带宽为10MHZ呢?
2018-10-08 16:52:59

请问AD9854输出正弦信号时外部时钟倍频怎么设置?

在下是AD9854新手,在做2013年全国大学生电子设计大赛的过程中,要求产生1MHz到40MHz的扫频信号。我用的是20MHz的外部晶振。倍频部分我是这么改的。不知道哪里有问题,好像系统时钟频率
2018-10-09 17:39:10

请问AD9854输出没有负电压而且幅度从0到最高那怎么才能输出交流信号?

AD9854输出正弦波,但是幅度是从零开始,而不是从负的峰值开始,怎么才能输出交流信号?
2018-08-31 10:29:27

请问AD9834如何产生很精确的正弦波

AD9834如何产生很精确的正弦波,比如20KHz,利用ad5620进行幅度控制,是不是ad5620产生一个固定的电压如1v我想要正弦波幅度很小,20-50mv,可以直接电阻分压吗有没有增益小于1的运放或PGA谢谢!
2018-11-12 09:45:40

请问如何调整AD9854的输出偏置电流

目前我在使用AD9854的signle模式输出正弦信号是,输出电流的最大值通过电阻设置为10mA,输出负载为50欧,此时输出电压应为0-500mV,但由于存在偏置电流,输出有一个400mV左右的直流
2018-08-29 11:27:22

请问能用NI9073 FPGA模式产生正弦波吗?

能不能用NI 9073FPGA模块产生一个500HZ的标准正弦波,然后通过9264AO输出??我用FPGA正弦波产生控件生成的正弦波波形完全没法看。不知道是哪里错误。能不能有高手指点下 ?
2019-04-18 15:10:26

谁有fpga的任意波形发生器,可以产生正弦波、方波、三角、锯齿的原理图。谢谢了

谁有fpga的任意波形发生器,可以产生正弦波、方波、三角、锯齿的原理图。谢谢了
2011-04-27 23:10:19

运用FPGA生成正弦波的具体步骤,特别是如何产生正弦波表格。

我是FPGA的新手,学了3天。因为急着用,现在有点着急。自己需要用FPGA做SPWM的调制。具体如何产生正弦波和载波的方法还不是很明白。大概知道用DDS输出波形的原理,但ROM里的正弦波表格如何制作还不清楚。有人能手把手教我一下吗?如果方便,可以跟我联系,给一些报酬也可以。谢谢!
2016-01-11 14:59:39

驱动线圈产生正弦波信号

最近在设计一个项目:基本的构想是通过ST MCU控制,输出SPWM信号,控制半桥芯片驱动3D线圈,产生XYZ三个轴上不同频率的电磁场。但用SVPWM只能产生正弦波的正半周期,负半周期无法产生(和无人机的马达控制不太一样)基本的图示如下:
2019-02-25 11:08:47

AD9854及其在直扩发射机中的应用

AD9854是美国Analog Device公司生产的一种新型DDS芯片,该器件内含48位频率累加器、48位相位累加器、正余弦波形表、12位正交数模转换器以及调制和控制电路,文中介绍了AD9854的结构及工
2009-04-25 15:54:0145

DDS在罗盘测试信号产生模块中的应用

本文在对DDS原理和AD9854芯片研究的基础上,介绍了一种罗盘测试信号产生模块的设计方案。通过单片机对DDS芯片的控制,可以产生正弦波信号和正弦波调幅信号,满足罗盘定向灵敏
2010-07-31 10:45:0012

DDS芯片AD9854及其在扩频通信中的应用_胡淑均

DDS芯片AD9854及其在扩频通信中的应用_胡淑均,DDS芯片AD9854及其在扩频通信中的应用_胡淑均。
2016-05-09 10:15:223

基于DDS芯片AD9854的信号产生器设计

基于DDS芯片AD9854的信号产生器设计
2017-08-13 09:43:0810

AD9854驱动程序设计

AD9854数字合成器是高集成度的器件,它采用先进的DDS技术,片内整合了两路高速、高性能正交D/A转换器通过数字化编程可以输出I、Q两路合成信号。在高稳定度时钟的驱动下,AD9854产生一高稳定的频率、相位、幅度可编程的正弦和余弦信号,作为本振用于通信,雷达等方面。
2017-11-03 11:03:1566

ad9854调试使用心得

AD9854数字合成器是高集成度的器件,它采用先进的DDS技术,片内整合了两路高速、高性能正交D/A转换器通过数字化编程可以输出I、Q两路合成信号。在高稳定度时钟的驱动下,AD9854产生一高稳定的频率、相位、幅度可编程的正弦和余弦信号,作为本振用于通信,雷达等方面。
2017-11-03 19:24:294642

DDS工作原理及基于AD9854的信号发生器的设计

介绍了用数字方式实现频率合成技术的基本原理和 DDS 芯片 AD9854 的内部结构及工作模式。设计了一种采用单片机控制 AD9854 为核心的信号发生器, 它具有输出信号波形种类多、精度高、可程控
2017-11-16 14:49:4145

基于AD9854的信号发生器设计

AD9854数字合成器是高集成度的器件,它采用先进的DDS技术,片内整合了两路高速、高性能正交D/A转换器通过数字化编程可以输出I、Q两路合成信号。在高稳定度时钟的驱动下,AD9854产生一高稳定的频率、相位、幅度可编程的正弦和余弦信号,作为本振用于通信,雷达等方面。
2017-12-09 11:38:127711

ad9854中文资料汇总(ad9854引脚图及功能_内部结构及应用电路)

本文首先介绍了AD9854特征与内部结构框图,其次介绍了AD9854引脚功能和AD9854的编程,最后介绍了两款ad9854应用电路图。
2018-05-16 15:17:0023204

基于AD9854产生MSK调制信号详细说明

AD9854数字合成器是高集成度的器件。本文首先介绍了AD9854的特征,其次介绍了AD9854构成的信号发生电路,最后介绍了AD9854产生MSK调制信号详细说明。
2018-05-16 15:50:254371

16位单片机对AD9854控制的探讨

本文首先介绍了AD9854的优势和特点,其次介绍了AD9854高精度频率信号发生器的电路图,最后详细介绍了16位单片机对AD9854控制的探讨。
2018-05-16 16:16:046046

STM32的AD9854 DDS模块调试总结

本文首先介绍了DDS的基本原理和特点,其次介绍了DDS芯片AD9854的概念,最后详细介绍了STM32的AD9854 DDS模块调试经验总结。
2018-05-16 16:43:1317245

ad9854应用电路图大全(五款ad9854信号发生/频率发生器/正交信号源电路)

本文介绍了五款ad9854的应用电路图。其中包括了ad9854信号发生电路、ad9854高精度频率发生器、ad9854正交信号源和ad9854信号产生及高精度频率合成器电路。
2018-05-16 17:33:468185

ad9854单片机程序详细说明(ad9854结构框图及电路)

本文首先介绍了ad9854的结构框图及特点,其次介绍了ad9854的两个应用电路图,最后介绍了ad9854单片机程序详情。
2018-05-17 08:32:4820551

stm32驱动ad9854程序分享_ad9854构成信号发生器电路

AD9854数字合成器是高集成度的器件。本文首先介绍了ad9854优势和特点,其次介绍了ad9854构成的信号发生器电路,最后分享了stm32驱动ad9854程序。
2018-05-17 09:23:5314898

AD9854数字合成器的详细英文数据手册免费下载

 AD9854数字合成器是一种高度集成的器件,它采用了先进的DDS技术,结合了两个内部高速、高性能的正交DAC来形成数字可编程I和Q合成器功能。当参考一个精确的时钟源时,AD9854产生高稳定、频率相位、幅度可编程的正弦和余弦输出,可以用作通信、雷达和许多其他应用中的敏捷LO。
2018-06-19 08:00:0010

AD9854FPGA程序免费下载

本文档的主要内容详细介绍的是AD9854FPGA程序免费下载。
2020-11-16 08:00:0017

AD9854参考代码

AD9854参考代码
2021-03-18 11:07:2339

AD9854评估板原理图

AD9854评估板原理图
2021-04-23 13:48:5619

AD9854/AD9852评估板说明

AD9854/AD9852评估板说明
2021-05-11 14:31:2915

已全部加载完成