电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>FPGA/ASIC技术>利用VHDL硬件描述语言和FPGA技术完成驱动时序电路的实现

利用VHDL硬件描述语言和FPGA技术完成驱动时序电路的实现

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

15份CCD驱动的文献资料合集(基于FPGA、CPLD设计与实现

的设计与实现,基于FPGA的模式可调线阵CCD驱动电路设计,基于FPGA的线阵CCD驱动模块的实现,基于FPGA的线阵型CCD驱动电路设计,基于USB3_0的FPGA对线阵CCD驱动时序电路设计,基于单片机的线阵CCD驱动模块硬件设计与实现
2019-06-03 16:45:25

FPGAVHDL有哪些优点?怎么理解VHDL

支持大规模设计的分解和已有设计的再利用功能。4.门级网表对于用 VHDL 完成的一个确定的设计,可以利用 EDA 工具进行逻辑综合和优化,并自动把VHDL 描述设计转变成门级网表。5.独立性VHDL 对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必对最终设计实现的目标器件有很深入地了解。
2018-09-07 09:04:45

VHDL硬件描述语言与和数字逻辑电路设计.侯伯亭&顾新

VHDL硬件描述语言与和数字逻辑电路设计.侯伯亭&顾新
2020-05-11 09:22:18

VHDL硬件描述语言与和数字逻辑电路设计.侯伯亭&顾新.扫描版

VHDL硬件描述语言与和数字逻辑电路设计.侯伯亭&顾新.扫描版
2020-05-03 09:46:42

VHDL与其他传统集成电路描述语言相比具有什么优势?

VHDL与其他传统集成电路描述语言相比具有什么优势?VHDL语言为核心的EDA技术在医学中的应用
2021-05-07 06:38:41

硬件描述语言VHDL课件

硬件描述语言VHDL课件   硬件描述语言VHDL 数字系统设计分为硬件设计和软件设计, 但是随着计算机技术、超大规模集成电路(CPLD
2008-09-11 15:47:23

硬件描述语言与汇编语言有哪些区别呢

个人感觉:硬件描述语言vhdl等):是为了制造cpu(类似的芯片),设计人员使用hdl设计和安排寄存器和时序电路如何组合,然后最终会生成门级网表,然后通过相关软件等生成最终物理电气电路(怎么布局
2022-02-28 06:10:16

EDA技术FPGA设计应用

系统进行方案设计和功能划分,由硬件描述语言完成系统行为级设计,利用先进的开发工具自动完成逻辑编译、化简、分割、综合、优化、布局布线(PAR,Place And Route)、仿真及特定目标芯片的适配
2008-06-26 16:16:11

Verilog HDL硬件描述语言

Verilog HDL硬件描述语言
2013-01-13 14:40:20

Verilog HDL硬件描述语言(非常经典的教材)

Verilog HDL硬件描述语言(非常经典的教材)FPGA软件无线电开发(全阶视频教程+开发板+实例)详情链接:http://url.elecfans.com/u/5e4a12f2ba
2013-07-22 14:50:03

Verilog_HDL硬件描述语言

Verilog_HDL硬件描述语言 FPGA的资料
2013-02-26 14:03:42

[VHDL硬件描述语言与和数字逻辑电路设计].侯伯亭&顾新.扫描版

[VHDL硬件描述语言与和数字逻辑电路设计].侯伯亭&顾新.扫描版
2020-05-21 09:25:46

verilog硬件描述语言课程讲义

verilog硬件描述语言课程讲义
2012-08-06 11:35:33

基于FPGA技术的RS 232接口的时序逻辑设计实现

摘要:RS 232接口是现在最常用的一种通信接口。随着FPGA技术的高速发展,一些常见的接口电路时序电路可以通过FPGA实现,通过这种设计可减少电路系统元件的数量,提高系统集成度和可靠性。详细阐述
2019-06-19 07:42:37

基于CPLD和FPGAVHDL语言电路优化设计

,一般情况下,速度指标是首要的,在满足速度要求的前提下,尽可能实现面积优化。因此,本文结合在设计超声探伤数据采集卡过程中的CPLD编程经验,提出串行设计、防止不必要锁存器的产生、使用状态机简化电路描述、资源共享,利用E2PROM芯片节省片内资源等方法对VHDL电路进行优化。
2019-06-18 07:45:03

基本时序电路设计实验

实验二 基本时序电路设计(1)实验目的:熟悉QuartusⅡ的VHDL文本设计过程,学习简单时序电路的设计、仿真和硬件测试。(2)实验内容:Ⅰ.用VHDL设计一个带异步复位的D触发器,并利用
2009-10-11 09:21:16

如何利用FPGAVHDL语言实现PCM码的解调?

利用现场可编程门阵列(FPGA)和VHDL 语言实现了PCM码的解调,这样在不改变硬件电路的情况下,能够适应PCM码传输速率和帧结构变化,从而正确解调数据。
2021-05-07 06:58:37

如何利用FPGA硬件描述语言实现AES的加解密算法?

为了系统的扩展性和构建良好的人机交互,如何利用FPGA硬件描述语言实现AES的加解密算法?
2021-04-08 06:01:05

如何使用VHDL硬件描述语言实现的十六路彩灯控制系统?

本文介绍应用美国ALTERA公司的MAX+PLUSⅡ平台,使用VHDL硬件描述语言实现的十六路彩灯控制系统。
2021-04-19 07:43:57

如何设计CCD的硬件驱动电路

CCD驱动电路实现是CCD应用技术的关键问题。以往大多是采用普通数字芯片实现驱动电路,CCD外围电路复杂,为了克服以上方法的缺点,利用VHDL硬件描述语言.运用FPGA技术完成驱动时序电路实现
2019-10-21 06:05:17

怎么利用FPGA实现键盘扫描模块的设计?

如何利用VHDL硬件描述语言和FPGA器件构建键盘扫描模块?
2021-05-06 06:02:07

怎么利用CPLD/FPGAVHDL语言优化电路

VHDL(Very High Speed Integrated Circuit Hardware Description Language)是IEEE工业标准硬件描述语言,是随着可编程逻辑器件
2019-08-28 08:05:46

怎么利用CPLD数字控制技术时序电路进行改进

本文利用CPLD数字控制技术时序电路进行改进。CPLD(Complex Programmable Logic Device)是新一代的数字逻辑器件,具有速度快、集成度高、可靠性强、用户可重复编程或
2021-05-06 09:44:24

怎么设计优化VHDL语言电路

什么是VHDLVHDL(Very High Speed Integrated Circuit Hardware Description Language)是IEEE工业标准硬件描述语言,是随着
2019-08-08 07:08:00

求助,TD支持哪些硬件描述语言,支持混合语言吗?

TD支持哪些硬件描述语言,支持混合语言吗?
2023-08-11 08:21:10

浅析嵌入式FPGA与HDL硬件描述语言

)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。HDL硬件描述语言(HDL)是一种用来设计数字逻辑系统和描述数字电路语言,常用的主要有VHDL、Verilog HDL、System Verilog 和 System C。VHDL是一种用于电路设计的高级
2021-12-22 07:39:43

请问如何使用Verilog硬件描述语言实现AES密码算法?

如何使用Verilog硬件描述语言实现AES密码算法?
2021-04-14 06:29:10

Verilog硬件描述语言描述.

本书简要介绍了Verilog硬件描述语言的基础知识,包括语言的基本内容和基本结构 ,以及利用语言在各种层次上对数字系统的建模方法。书中列举了大量实例,帮助读者掌握语
2006-03-27 23:44:08101

VHDL硬件描述语言教学.

VHDL硬件描述语言教学:包括fpga讲义,VHDL硬件描述语言基础,VHDL语言的层次化设计的教学幻灯片
2006-03-27 23:46:4993

vhdl语言ppt

VHDL的定义和功能VHDL的发展概况程序编程语言和硬件描述语言的对比引入硬件描述语言对系统进
2008-09-03 12:58:4139

vhdl硬件描述语言(教材课件)

数字系统设计分为硬件设计和软件设计, 但是随着计算机技术、超大规模集成电路(CPLD、FPGA)的发展和硬件描述语言(HDL, Hardware Description Language)的出现,软、硬件设计之间的界
2008-09-11 15:15:5690

VHDL硬件描述语言 pdf

全面地介绍了VHDL硬件描述语言的基本知识和利用VHDL进行数字电路系统设计的方法。全书共分13章:第1-6
2008-09-11 15:45:271333

VHDL语言的程序结构与数据类型

[学习要求] 掌握VHDL硬件描述语言的基本语法和源文件的结构,学会用VHDL硬件描述语言设计典型数字逻辑电路。[重点与难点]重点:VHDL语言的程序结构;VHDL语言的数据类型及数
2009-03-18 20:02:3547

VERILOG HDL硬件描述语言

本书简要介绍了Verilog硬件描述语言的基础知识,包括语言的基本内容和基本结构 ,以及利用语言在各种层次上对数字系统的建模方法。书中列举了大量实例,帮助读者掌握语言
2009-07-20 11:36:350

VHDL语言概述

VHDL语言概述:本章主要内容:􀁺硬件描述语言(HDL)􀁺VHDL语言的特点􀁺VHDL语言的开发流程 1.1 1.1 硬件描述语言硬件描述语言(HDL HDL)􀂾H
2009-08-09 23:13:2047

VHDL语言描述数字系统

VHDL语言描述数字系统:本章介绍用 VHDL 描述硬件电路的一些基本手段和基本方法。   VHDL 语言是美国国防部在 20 世纪 80 年代初为实现其高速集成电路计划(VHSIC)而提出的
2009-09-01 09:02:4037

VHDL硬件描述语言与数字逻辑电路设计

VHDL硬件描述语言与数字逻辑电路设计:本书系统地介绍了一种硬件描述语言,即VHDL语言设计数字逻辑电路和数字系统的新方法。这是电子电路设计方法上一次革命性的变化,也是迈
2010-02-06 16:55:22359

Verilog HDL硬件描述语言【书籍

本书简要介绍了Verilog 硬件描述语言的基础知识,包括语言的基本内容和基本结构,以及利用语言在各种层次上对数字系统的建模方法。书中列举了大量实例,帮助读者掌握
2010-07-02 14:55:51124

有限状态机的硬件描述语言设计方法

实验目的 1、 熟悉用硬件描述语言VHDL)设计一般状态机所包含的几个基本部分;2、 掌握用硬件描述语言VHDL)设计Moore型和Mealy型有限状态机的方法;3、 了解状态
2010-09-03 09:48:170

VHDL的基本描述语句设计

实验六、VHDL的基本描述语句设计一  实验目的1掌握VHDL语言的基本结构及设计的输入方法。2掌握VHDL语言的基本描述语句的使用方法。二  实验设备
2009-03-13 19:23:571998

时序电路设计串入/并出移位寄存器

时序电路设计串入/并出移位寄存器一  实验目的1掌握VHDL语言的基本描述语句的使用方法。2掌握使用VHDL语言进行时序电路设计的方法。
2009-03-13 19:29:515733

时序电路设计串入/并出移位寄存器

时序电路设计串入/并出移位寄存器一  实验目的1掌握VHDL语言的基本描述语句的使用方法。2掌握使用VHDL语言进行时序电路设计的方法。
2009-03-13 19:29:522024

同步时序电路

同步时序电路 4.2.1 同步时序电路的结构和代数法描述
2010-01-12 13:31:554672

采用CPLD/FPGAVHDL语言电路优化原理设计

采用CPLD/FPGAVHDL语言电路优化原理设计 VHDL(Very High Speed Integrated Circuit Hardware Description Language)是IEEE工业标准硬件描述语言,是随着可编程逻辑器件(PLD)的发展而发展起
2010-03-19 11:38:022318

基于FPGA-SPARTAN芯片的CCD的硬件驱动电路设计

  CCD驱动电路实现是CCD应用技术的关键问题。以往大多是采用普通数字芯片实现驱动电路,CCD外围电路复杂,为了克服以上方法的缺点,利用VHDL硬件描述语言.运用FPGA技术
2010-08-30 09:58:191289

基于FPGA的可键盘控制计数电路的设计

介绍一种基于FPGA(Field Programmable Gate Array)现场可编程门阵列的可键盘控制的计数,显示电路实现方法。应用VHDL语言(高速集成电路硬件描述语言完成了34矩阵开关的扫描电路,可
2011-05-03 18:02:1497

verilog硬件描述语言课程讲义

verilog硬件描述语言课程讲义
2012-05-21 15:01:2933

[VHDL硬件描述语言与和数字逻辑电路设计].侯伯亭&顾新.扫描版

电子发烧友网站提供《[VHDL硬件描述语言与和数字逻辑电路设计].侯伯亭&顾新.扫描版.txt》资料免费下载
2012-07-10 18:32:330

硬件描述语言(HDL)概述

电子发烧友网核心提示 :硬件描述语言HDL是一种用形式化方法描述数字电路和系统的语言利用这种语言,数字电路系统的设计可以从上层到下层(从抽象到具体)逐层描述自己的设
2012-10-15 10:36:083385

硬件描述语言HDL的典型代表

电子发烧友网核心提示 :目前,硬件描述语言(HDL)可谓是百花齐放,有VHDL、Superlog、Verilog、SystemC、Cynlib C++、C Level等等。电子发烧友网小编今天就带大家一起来了解下几种具有代表
2012-10-15 10:51:384127

经典教材-VHDL硬件描述语言与数字逻辑电路设计(第三版)

电子发烧友网站提供《经典教材-VHDL硬件描述语言与数字逻辑电路设计(第三版).txt》资料免费下载
2014-08-27 11:41:090

Verilog硬件描述语言参考手册

Verilog硬件描述语言参考手册,Verilog语法内容介绍
2015-11-12 17:20:370

Verilog HDL硬件描述语言

Verilog HDL硬件描述语言 有需要的下来看看
2015-12-29 15:31:270

硬件描述语言VHDL简介

硬件描述语言VHDL简介,好东西,喜欢的朋友可以下载来学习。
2016-02-19 17:19:500

VHDL硬件描述语言

VHDL语言编程学习之VHDL硬件描述语言
2016-09-01 15:27:270

Verilog硬件描述语言

VHDL语言编程学习Verilog硬件描述语言
2016-09-01 15:27:270

硬件描述语言VHDL

硬件描述语言VHDL的学习文档,详细的介绍了VHDL
2016-09-02 17:00:5312

Verilog HDL硬件描述语言

Verilog HDL硬件描述语言,感兴趣的小伙伴们可以瞧一瞧。
2016-11-11 11:20:1111

VHDL硬件描述语言与数字逻辑电路设计

VHDL硬件描述语言与数字逻辑电路设计,感兴趣的小伙伴们可以瞧一瞧。
2016-11-10 14:20:340

VHDL硬件描述语言的学习

在小规模数字集成电路就要淘汰的今天,作为一个电类专业的毕业生应该熟悉VHDL语言和CPLD、FPGA器件的设计,阎石教授新编写的教材也加入了VHDL语言方面的内容,可见使用VHDL语言将数字系统集成
2017-12-05 09:00:3120

数字系统中硬件描述语言VHDL的简介

数字系统设计分为硬件设计和软件设计, 但是随着计算机技术、超大规模集成电路(CPLD、FPGA)的发展和硬件描述语言(HDL, Hardware Description Language)的出现
2017-12-05 10:45:3212

硬件描述语言VHDL优点及缺点

1987年, VHDL被正式确定为IEEE 1076标准。 VHDL是一种强类型语言, 具有丰富的表达能力, 可使各种复杂度(系统级、 电路板级、 芯片级、 门级)的电路网络在同一抽象程度上被描述
2018-03-30 11:20:159

VHDL语言设计实体的基本结构

VHDL语言是一种在EDA设计中广泛流行的硬件描述语言,主要用于描述数字系统的结构、行为、功能和接口。除了含有许多具有硬件特征的语句外,VHDL语言的句法、语言形式和描述风格十分类似于一般的计算机高级语言,是目前硬件描述语言中应用最为广泛的一种。
2018-03-30 16:04:2721

使用EDA技术VHDL硬件描述语言实现的TDMA数字频带通信系统资料概述

基于EDA 技术VHDL 硬件描述语言, 提出了一种TDMA 数字频带通信系统, 在一片EPF10K10 的FPGA 芯片上完成了位同步、帧同步、A 律压缩与解压、FSK 调制与解调等系统的大部分功能, 实现了4 路语音与2路64kB 数据全双工通信。
2018-09-29 16:54:545

FPGA教程之AHDL硬件描述语言的详细资料说明

本文档详细介绍的是FPGA教程之AHDL硬件描述语言的详细资料说明主要内容包括了:1 硬件描述语言(HDL)概述,2 Altera 的硬件描述语言AHDL,3 AHDL电路设计举例
2019-02-27 17:27:5616

VHDL硬件描述语言入门教程资料免费下载

本文档的主要内容详细介绍的是VHDL硬件描述语言入门教程资料免费下载包括了:1. VHDL语言基础,2. VHDL基本结构,3. VHDL语句,4. 状态机在VHDL中的实现,5. 常用电路VHDL程序,6. VHDL仿真,7. VHDL综合
2019-04-08 08:00:0041

FPGA硬件语法篇:用Verilog代码仿真与验证数字硬件电路

从数字电路中学到的逻辑电路功能,使用硬件描述语言(Verilog/VHDL)描述出来,这需要设计人员能够用硬件编程思维来编写代码,以及拥有扎实的数字电路功底。
2019-12-05 07:10:002977

基于Verilog硬件描述语言的IEEE标准硬件描述语言资料合集免费下载

本文档的主要内容详细介绍的是基于Verilog硬件描述语言的IEEE标准硬件描述语言资料合集免费下载:1995、2001、2005;SystemVerilog标准:2005、2009
2020-06-18 08:00:0010

什么是vhdl语言_简述vhdl语言的特点

什么是vhdl语言 VHDL 的英文全名是VHSIC Hardware Description Language(VHSIC硬件描述语言)。VHSIC是Very High Speed
2020-04-23 15:58:4910242

基于Verilog HDL描述语言实现交通灯系统控制器的设计

的电子电路设计功能。EDA技术使得电子电路设计者的工作仅限于利用硬件描述语言和EDA软件平台来完成对系统硬件功能的实现,极大地提高了设计效率,缩短了设计周期,节省了设计成本。
2020-07-21 08:51:166818

采用VHDL语言FPGA实现WolfMCU体系结构的设计

基于以上讨论,可以看出ASIP+FPGA设计模式可以从很大程度上解决引言中提到的两个难题。为了进行更深入的研究,我们对该设计模式进行了尝试,用VHDL硬件描述语言FPGA实现了一个8位微处理器
2020-07-28 17:44:49562

使用VHDL语言和FPGA设计一个多功能数字钟的论文免费下载

本设计采用EDA技术,以硬件描述语言VHDL为系统逻辑描述手段设计文件,在QUARTUSII工具软件环境下, 采用自顶向下的设计方法, 由各个基本模块共同构建了一个基于FPGA的数字钟。
2020-08-28 09:36:0019

使用VHDL硬件描述语言实现FSK调制的详细说明

本文档的主要内容详细介绍的是基于VHDL硬件描述语言,对基带信号进行FSK调制。
2021-01-19 14:34:0019

基于VHDL硬件描述语言实现CPSK调制的程序及仿真

本文档的主要内容详细介绍的是基于VHDL硬件描述语言实现CPSK调制的程序及仿真。
2021-01-19 14:34:1511

使用VHDL硬件描述语言实现基带信号的MASK调制的程序与仿真

本文档的主要内容详细介绍的是使用VHDL硬件描述语言实现基带信号的MASK调制的程序与仿真。
2021-01-19 14:34:1713

使用VHDL硬件描述语言实现基带信号的MFSK调制的程序与仿真

本文档的主要内容详细介绍的是使用VHDL硬件描述语言实现基带信号的MFSK调制的程序与仿真。
2021-01-19 14:34:194

如何使用VHDL硬件描述语言实现基带信号的MPSK调制

本文档的主要内容详细介绍的是如何使用VHDL硬件描述语言实现基带信号的MPSK调制。
2021-01-19 14:34:212

使用VHDL硬件描述语言实现基带码发生器的程序设计与仿真

本文档的主要内容详细介绍的是使用VHDL硬件描述语言实现基带码发生器的程序设计与仿真免费下载。
2021-01-20 13:44:1611

硬件描述语言VHDL及其应用的详细说明

本文档的主要内容详细介绍的是硬件描述语言VHDL及其应用的详细说明。
2021-01-21 16:02:1121

VHDL硬件描述语言基础详细资料说明

本文档的主要内容详细介绍的是VHDL硬件描述语言基础详细资料说明包括了:简介,基本结构,基本数据类型,设计组合电路,设计时序电路,设计状态机,大规模电路的层次化设计,Function and Procedure
2021-01-21 17:03:1618

硬件描述语言和FPGA的具体关系

按键是FPGA设计当中最常用也是最简单的外设,本章通过按键检测实验,检测开发板的按键功能是否正常,并了解硬件描述语言和FPGA的具体关系,学习Vivado RTL ANALYSIS的使用。
2022-02-08 17:27:53658

VHDL硬件描述语言的学习课件免费下载

本文档的主要内容详细介绍的是VHDL硬件描述语言的学习课件免费下载包括了:VHDL概述,VHDL数据类型与数据对象,VHDL命令语句
2021-01-22 08:00:005

CN0540硬件描述语言设计

CN0540硬件描述语言设计
2021-03-23 00:07:264

VHDL与Verilog硬件描述语言如何用TestBench来进行仿真

VHDL与Verilog硬件描述语言在数字电路的设计中使用的非常普遍,无论是哪种语言,仿真都是必不可少的。而且随着设计复杂度的提高,仿真工具的重要性就越来越凸显出来。在一些
2021-08-04 14:16:443307

硬件描述语言HDL和汇编语言、c语言的区别

个人感觉:硬件描述语言vhdl等):是为了制造cpu(类似的芯片),设计人员使用hdl设计和安排寄存器和时序电路如何组合,然后最终会生成门级网表,然后通过相关软件等生成最终物理电气电路(怎么布局
2022-01-13 15:09:460

使用Verilog/SystemVerilog硬件描述语言练习数字硬件设计

HDLBits 是一组小型电路设计习题集,使用 Verilog/SystemVerilog 硬件描述语言 (HDL) 练习数字硬件设计~
2022-08-31 09:06:591168

基于硬件描述语言HDL的FPGA开发

基于硬件描述语言HDL,抽象出HLS(High-Level Synthesis)(翻译为高层次综合?怎么听起来都沉得别扭)技术,通过高层设计去隐藏很多底层逻辑和细节,让FPGA的开发更加简单。
2022-09-05 09:12:48704

什么是同步时序电路和异步时序电路,同步和异步电路的区别?

同步和异步时序电路都是使用反馈来产生下一代输出的时序电路。根据这种反馈的类型,可以区分这两种电路时序电路的输出取决于当前和过去的输入。时序电路分为同步时序电路和异步时序电路是根据它们的触发器来完成的。
2023-03-25 17:29:5217514

VHDL与Verilog硬件描述语言TestBench的编写

VHDL与Verilog硬件描述语言在数字电路的设计中使用的非常普遍,无论是哪种语言,仿真都是必不可少的。而且随着设计复杂度的提高,仿真工具的重要性就越来越凸显出来。在一些
2023-09-09 10:16:56721

时序电路的分类 时序电路的基本单元电路有哪些

时序电路是一种能够按照特定的顺序进行操作的电路。它以时钟信号为基准,根据输入信号的状态和过去的状态来确定输出信号的状态。时序电路广泛应用于计算机、通信系统、数字信号处理等领域。根据不同的分类标准
2024-02-06 11:25:21399

fpga用的是什么编程语言 fpga用什么语言开发

VHDL都是用于逻辑设计的硬件描述语言,并且都已成为IEEE标准。它们能形式化地抽象表示电路的结构和行为,支持逻辑设计中层次与领域的描述,具有电路仿真与验证机制以保证设计的正确性,并便于文档管理和设计重用。 fpga用什么语言开发 FPGA(现场可编程逻辑门阵列)的开发主要使用硬件描述语言(HD
2024-03-14 17:09:32223

已全部加载完成