电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>FPGA/ASIC技术>基于JESD204B设计的数据传输接口

基于JESD204B设计的数据传输接口

12下一页全文
收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

宽带数据转换器应用的JESD204B与串行LVDS接口考量

开发串行接口业界标准JESD204A/JESD204B的目的在于解决以高效省钱的方式互连最新宽带数据转换器与其他系统IC的问题。
2021-11-01 11:24:165783

JESD204接口简介

0.72 V至1.23 V(源阻抗和负载阻抗定义为100 Ω ±20%)。 •JESD204B的第二速度等级定义了通道数据速率最高为6.375 Gbps的电气接口。该速度等级与第一速度等级相似,差分电平为
2019-05-29 05:00:03

JESD204C的标准和新变化

速率以支持更高带宽应用的需求,提高有效载荷传输的效 率,改进链路稳健性。此外,他们希望编写一个比JESD204B更清晰的规范,同时修复该版本标准中的一些错误。他们还希望提供向后兼容JESD204B
2021-01-01 07:44:26

JESD204标准解析

,转换器具有各种通道数和位分辨率。在CMOS和LVDS输出中,数据用作每个通道数据的同步时钟,使用CML输出时,JESD204B数据传输的最大数据速率为4.0Gbps。从该表中可以发现,使用CML驱动器
2019-06-17 05:00:08

JESD204B 串行链路的均衡器优化

`描述采用均衡技术可以有效地补偿数据转换器的 JESD204B 高速串行接口中的信道损耗。此参考设计采用了 ADC16DX370 双 16 位 370 MSPS 模数转换器 (ADC),该转换器利用
2015-05-11 10:40:44

JESD204B接口标准信息理解

和 CMOS 接口提供的优势。有了 JESD204B,您无需再:使用数据接口时钟(嵌入在比特流中)担心信道偏移(信道对齐可修复该问题)使用大量 I/O(高速串行解串器实现高吞吐量)担心用于同步多种 IC
2018-09-13 14:21:49

JESD204B中的确定延迟到底是什么? 它是否就是转换器的总延迟?

什么是8b/10b编码,为什么JESD204B接口需使用这种编码?怎么消除影响JESD204B链路传输的因素?JESD204B中的确定延迟到底是什么? 它是否就是转换器的总延迟?JESD204B如何使用结束位?结束位存在的意义是什么?如何计算转换器的通道速率?什么是应用层,它能做什么?
2021-04-13 06:39:06

JESD204B串行接口时钟的优势

之间的数据传输,其最早的版本是JESD204A, 现在是JESD204Bsubclass0, subclass1, subclass2。区别主要在于其对同步和链路间固定时差的测量。目前市场上比较
2019-06-19 05:00:06

JESD204B串行数据链路接口问题

MS-2503: 消除影响JESD204B链路传输的因素
2019-09-20 08:31:46

JESD204B偶尔失锁的情况

使用AD6688时遇到一个JESD204B IP核问题。参考时钟为156.25MHz,参数L=2,F=2,K=32,线速率为6.25Gbps,使用的为SYSREF always中的每个SYSREF都
2019-04-11 21:12:09

JESD204B协议介绍

的优势。有了 JESD204B,您无需再:使用数据接口时钟(嵌入在比特流中)担心信道偏移(信道对齐可修复该问题)使用大量 I/O(高速串行解串器实现高吞吐量)担心用于同步多种 IC 的复杂方法(子类…
2022-11-21 07:02:17

JESD204B协议有什么特点?

在使用最新模数转换器 (ADC) 和数模转换器 (DAC) 设计系统时,我已知道了很多有关 JESD204B 接口标准的信息,这些器件使用该协议与 FPGA 通信。那么在解决 ADC 至 FPGA
2021-04-06 06:53:56

JESD204B是什么工作原理?控制字符是什么?

JESD204B的工作原理JESD204B的控制字符
2021-04-06 06:01:20

JESD204B生存指南

JESD204B生存指南
2019-05-28 12:08:12

JESD204B的优势

如果您有接触使用 FPGA 的高速数据采集设计,没准听说过新术语“JESD204B”。我在工作中看到过很多工程师询问有关 JESD204B 接口的信息以及它如何同 FPGA 协作。他们特别感兴趣
2022-11-23 06:35:43

JESD204B的常见疑问解答

问:什么是8b/10b编码,为什么JESD204B接口需使用这种编码? 答:无法确保差分通道上的直流平衡信号不受随机非编码串行数据干扰,因为很有可能会传输大量相反的1或0数据。通过串行链路传输
2024-01-03 06:35:04

JESD204B的系统级优势

PCB 布局有多大帮助的实例;高灵活布局:JESD204B 对畸变要求低,可实现更远的传输距离。这有助于将逻辑器件部署在距离数据转换器更远的位置,以避免对灵敏模拟器件产生影响;满足未来需求:该接口能够
2018-09-18 11:29:29

JESD204B转换器的确定性延迟解密

数据。不仅两个有源器件在这种延迟计 算中作为函数使用,与两个器件接口的空间信号路由也将 作为函数参与计算。这意味着每条链路的确定性延迟在多 转换器系统中,可能较大或较小,具体取决于JESD204B通 道
2018-10-15 10:40:45

JESD204B高速串行接口链路的均衡器优化参考设计包括原理图,物料清单及参考指南

in JESD204B high speed serial interfaces for data converters. This reference design features the ADC16DX370, a
2018-08-09 08:40:10

jesd204B调试经验有哪些?注意事项是什么?

jesd204B调试经验有哪些?注意事项是什么?
2021-06-21 06:05:50

jesd204b

我最近尝试用arria 10 soc实现与ad9680之间的jesd204B协议,看了很多资料,却依然感觉无从下手,不知道哪位大神设计过此协议,希望可以请教一番,在此先谢过。
2017-12-13 12:47:27

jesd204b ip核支持的线速率

因实际需求,本人想使用JESD204b的ip核接收ADC发送过来的数据,ADC发送的数据链路速率是15gbps, 厂家说属于204b标准。我看到jesd204b的ip核标准最大是12.5gbps,但是支持的支持高达16.375 Gb/s的非标准线速率。请问我可以使用这个IP核接收ADC的数据吗?
2020-08-12 09:36:39

AD9164 JESD204B接口传输层是如何对I/Q数据进行映射的?

AD9164 JESD204B接口传输层是如何对I/Q数据进行映射的
2023-12-04 07:27:34

AD9683的引脚如何与zynq 7015芯片中的JESD204 ip核端口对应相连?

芯片上JESD204B协议对应的引脚(SYSREF、SYNCINB和SERDOUT)与ZYNQ7015芯片中的JESD204 IP核的端口对应相连。
2023-12-15 07:14:52

FPGA高速数据采集设计之JESD204B接口应用场景

JESD204BSubclass1工作模式,通过FMC接口与高性能FPGA的GTH接口相连接收ADC采样后的数据,最终通过PCIE金手指与PC端进行传输。5、JESD204B协议中自同步加解扰电路设计与实现作为JEDEC最新修订
2019-12-03 17:32:13

FPGA高速数据采集设计之JESD204B接口应用场景

一,JESD204B应用的优缺点接触过FPGA高速数据采集设计的朋友,应该会听过新术语“JESD204B”。这是一种新型的基于高速SERDES的ADC/DAC数据传输接口。随着ADC/DAC的采样
2019-12-04 10:11:26

Kintex 7上的JESD204b标准中的ADC输出接口

嗨,我必须在Kintex 7上导入为Virtex 6开发的代码,以便将JESD204B标准中的ADC输出接口。我修改了代码和ucf文件,以便在演示板MC705上实现它。Synthesize
2020-05-21 14:22:21

ad9680 JESD204B接口rx_sync信号同步和失锁周期性出现怎么解决?

使用AD9680时遇到一个问题,AD9680采样率为1Gsps,JESD204B IP核的GTX参考时钟为250MHz,参数L=4,F=2,K=32,线速率为10Gbps,使用的为SYSREF
2023-12-12 08:03:49

ad9680 JESD204B接口同步信号RX_SYNC失锁 请问怎么解决?

使用AD9680时遇到一个问题,AD9680采样率为1Gsps,JESD204B IP核的GTX参考时钟为250MHz,参数L=4,F=2,K=32,线速率为10Gbps,使用的为SYSREF
2018-08-08 07:50:35

一文读懂JESD204B标准系统

JESD204B到底是什么呢?是什么导致了JESD204B标准的出现?什么是JESD204B标准?为什么关注JESD204B接口
2021-05-24 06:36:13

串行LVDS和JESD204B的对比

作者:George Diniz,ADI公司高速数据转换器部产品线总监JESD204B简介开发串行接口业界标准JESD204A的目的在于解决以高效率且省钱的方式互连最新宽带数据转换器与其他系统IC
2019-05-29 05:00:04

JESD204B subclass1来讨论时钟的时序需要以及TI时钟芯片方案的实现

JESD204B采集卡项目综合上板后,可以使用上位机通过千兆网来配置AD9144和AD9516板卡,实现高速AD采集。最终可以在示波器和上位机上采集到设定频率的正弦波。本文重点介绍JESD204B
2019-12-17 11:25:21

使用AD9163的时候遇到JESD204B的SYNC信号周期性拉低如何解决?

我在使用AD9163的时候遇到JESD204B的SYNC信号周期性拉低。通过读寄存器值如图,发现REG470和REG471都为0xFF,而REG472始终为0.不知有谁知道是什么原因?该如何解
2023-12-04 07:30:17

关于JESD204B接口你想知道的都在这

关于JESD204B接口你想知道的都在这
2021-09-29 06:56:22

在Xilinx FPGA上快速实现JESD204B

JESD204B 协议实现概述JESD204B规范定义了实现该协议数据流的四个关键层,如图1所示。传输层完成样本和未加扰的帧数据之间的映射和解映射。可选的加扰层可用来加扰/解扰8 位字,以扩散频谱尖峰来
2018-10-16 06:02:44

基于高速串行数字技术的JESD204B链路延时设计

描述JESD204B 链路是数据转换器数字接口的最新趋势。这些链路利用高速串行数字技术提供很大的益处(包括增大的信道密度)。此参考设计解决了其中一个采用新接口的挑战:理解并设计链路延迟。一个示例实现
2018-11-21 16:51:43

如何去实现JESD204B时钟?

JESD204B数模转换器的时钟规范是什么?JESD204B数模转换器有哪些优势?如何去实现JESD204B时钟?
2021-05-18 06:06:10

如何让JESD204B在FPGA上工作?FPGA对于JESD204B需要多少速度?

的模数转换器(ADC)和数模转换器(DAC)支持最新的JESD204B串行接口标准,出现了FPGA与这些模拟产品的最佳接口方式问题。FPGA一直支持千兆串行/解串(SERDES)收发器。然而在过去,大多数ADC
2021-04-06 09:46:23

如何采用系统参考模式设计JESD204B时钟

LMK04821系列器件为该话题提供了很好的范例研究素材,因为它们是高性能的双环路抖动清除器,可在具有器件和SYSREF时钟的子类1时钟方案里驱动多达七个JESD204B转换器或逻辑器件。图1是典型
2022-11-18 06:36:26

宽带数据转换器应用的JESD204B与串行LVDS接口考量

interface.  开发串行接口业界标准JESD204A/JESD204B的目的在于解决以高效省钱的方式互连最新宽带数据转换器与其他系统IC的问题。其动机在于通过采用可调整高速串行接口,对接口进行标准化
2021-11-03 07:00:00

小说 JESD

JESD204b接口已经在国内好几年,但是几乎没有一篇文章和其实际应用相关。其实对于一个关于JESD204b接口ADC项目来讲一共大致有5个部分:ADC内核,ADC的JESD接口,[color
2017-08-09 20:33:19

JESD204B输出的14位170Msps双通道ADC

DC1974A-C,LTC2122演示板,14位,170Msps双通道ADC,带JESD204B输出。演示电路1974A-C支持具有符合JESD204B标准的CML输出的LTC2122,14位双
2019-06-20 08:05:16

无法在Vivado 2013.4中为JESD204B v5.1生成比特流

嗨, 我尝试在Vivado 2013.4中构建我们的设计并构建Xilinx JESD204B设计示例,我收到以下错误:错误:[Common 17-69]命令失败:此设计包含不支持比特流生成的内核
2018-12-10 10:39:23

时序至关重要:怎么提高JESD204B时钟方案的性能

编号的步骤可以互换。 表1:能启用不同SYSREF模式的寄存器写入序列 JESD204B标准是减少布局工作量,同时在信号转换器和逻辑器件之间采用串行化数据传输。通过充分利用JESD204B致能时钟器件
2018-09-06 15:10:52

构建JESD204B链路的步骤

作者:Ken C在上篇博客《理解JESD204B协议》中,我对 JESD204B 协议中的三个状态进行了概括性的功能介绍。这三个状态对于在链路的 TX 和 RX 之间构建有效数据链路非常重要,它们
2018-09-13 09:55:26

构建JESD204B链路的步骤

在上篇博客《理解JESD204B协议》中,我对 JESD204B 协议中的三个状态进行了概括性的功能介绍。这三个状态对于在链路的 TX 和 RX 之间构建有效数据链路非常重要,它们是:代码组同步
2022-11-21 07:18:42

测试JESD204无法正常工作

你好,我试图仅在测试模式下测试JESD204B v6.2:001:无限期地发送/接收/K28.5/但首先在desing块中有一个错误:[BD 41-967] AXI接口引脚/ jesd204
2019-04-19 13:06:30

通过同步多个JESD204B ADC实现发射器定位参考设计

探讨如何同步多个带JESD204B 接口的模数转换器 (ADC) 以便确保从 ADC 采样的数据在相位上一致。特性同步 2 个采样频率为 3.072GHz 的千兆采样 ADC系统可扩展到超过 2 个
2022-09-19 07:58:07

高通道数JESD204B菊链可扩展时钟解决方案

描述高速多通道应用需要低噪声、可扩展且可进行精确通道间偏斜调节的时钟解决方案,以实现最佳系统 SNR、SFDR 和 ENOB。此参考设计支持在菊链配置中增加 JESD204B 同步时钟。此设计可提供
2018-12-28 11:54:19

JESD204B FPGA调试软件加快高速设计速度

Xilinx收发器调试工具,可支持312.5Mbps至12.5Gbps的JESD204B数据转换器至FPGA串行数据接口和Xilinx® Inc., 7系列FPGA及Zynq®-7000全可编程SoC。
2013-10-17 16:35:20909

在Xilinx_FPGA上快速实现_JESD204B协议

在Xilinx FPGA上快速实现 JESD204B
2016-01-04 18:03:060

如何构建JESD204B 有效链路

在上篇博客《理解JESD204B协议》中,我对 JESD204B 协议中的三个状态进行了概括性的功能介绍。这三个状态对于在链路的 TX 和 RX 之间构建有效数据链路非常重要,它们是:代码组同步
2017-04-08 04:38:042689

JESD204B协议概述

在使用我们的最新模数转换器 (ADC) 和数模转换器 (DAC) 设计系统时,我已知道了很多有关 JESD204B 接口标准的信息,这些器件使用该协议与 FPGA 通信。此外,我还在 E2E
2017-04-08 04:48:172131

在Xilinx FPGA上快速实现 JESD204B

简介 JESD204是一种连接数据转换器(ADC和DAC)和逻辑器件的高速串行接口,该标准的 B 修订版支持高达 12.5 Gbps串行数据速率,并可确保 JESD204 链路具有可重复的确定性延迟
2017-04-12 10:22:1114645

基于JESD204B高速数据传输协议 通过DDC魔法乘以ADC的虚拟通道数

JESD204B是一种高速数据传输协议,采用8位/10位编码和加扰技术,旨在确保足够的信号完整性。针对JESD204B标准,总吞吐量变为在此设置中,由于AD9250中没有其他数字处理任务,所以JESD204B链路(JESD204B发射器)一目了然。
2017-09-08 11:36:0339

JESD204B中自同步加扰及解扰电路设计(AD/DA传输协议)

JESD204B 作为JEDEC 国际组织于2011年修订的AD/DA 采样数据传输协议叫,实现了多链路多通道串行传输的同步传输,单通道的数据传输速率达到12.5 Gbps,显著提升了数据转换器
2017-10-31 17:16:1924

通过ADC来详细了解JESD204B规范的各层

随着高速ADC跨入GSPS范围,与FPGA(定制ASIC)进行数据传输的首选接口协议是JESD204B。为了捕捉频率范围更高的RF频谱,需要宽带RF ADC。在其推动下,对于能够捕捉更宽带宽并支持
2017-11-16 18:48:169537

JESD204B SystemC module 设计简介(一)

和RTL代码的编写。设计以最新的版本JESD204B.01(July 2011)为参考,设计根据数据流的传输分为传输层、数据链路层、物理成进行代码的编写,其中JESD204B的模拟特性在本设计中因为无法实现,所以并没有做过多的描述,具体的模拟的细节可以参考有JEDEC发布的标准协议。
2017-11-17 09:36:563002

JESD204B标准及演进历程

在从事高速数据撷取设计时使用FPGA的人大概都听过新JEDEC标准「JESD204B」的名号。近期许多工程师均联络德州仪器,希望进一步了解 JESD204B 接口,包括与FPGA如何互动、JESD204B如何让他们的设计更容易执行等。本文介绍 JESD204B标准演进,以及对系统设计工程师有何影响。
2017-11-18 02:57:0113942

JESD204B在时钟方面的设计及其验证实现

数据传输,其最早的版本是JESD204A, 现在是JESD204Bsubclass0, subclass1, subclass2。
2017-11-18 08:00:011831

FPGA 的高速数据采集设计之JESD204B部分详解

如果您有接触使用 FPGA 的高速数据采集设计,没准听说过新术语“JESD204B”。 我在工作中看到过很多工程师询问有关 JESD204B 接口的信息以及它如何同 FPGA 协作。他们特别感兴趣
2017-11-18 08:36:013155

针对高速数据转换器的最新高速JESD204B标准带来了验证挑战

的主要价值在于,它能够可靠地增加转换器和逻辑器件(比如FPGA或ASIC)之间的数据传输带宽。 与任何新接口一样,JESD204B同样带来了新的挑战。
2017-11-18 18:57:162789

简述Arria10接口JESD204B的与ADI9144性能

Arria10接口JESD204B与ADI9144的互操作性
2018-06-20 00:06:004053

JESD204B接口标准中的眼图测量

该视频将为观众介绍JESD204B接口中的眼图测量。
2019-08-01 06:19:003157

JESD204B传输层的实现方式介绍

这是ADI公司JESD204B在线研讨会系列的第一部分,将讨论传输层的基本元素,及其在ADI高速ADC、DAC和收发器中的实现方式。
2019-07-18 06:14:002901

JESD204B接口中的眼图测量方法

该视频将为观众介绍JESD204B接口中的眼图测量。
2019-08-19 06:06:004377

JESD204B在A/D转换器到FPGA设计中的作用(3.2)

來自ADI公司和Xilinx公司的專家齊聚一堂,共同講解JESD204B介面標準的重要性,同時介紹它在A/D轉換器到FPGA設計中的作用。
2019-07-03 06:13:001292

LTC6952:超低抖动、4.5 GHz PLL,带11个输出和JESD204B/JESD204C支持数据

LTC6952:超低抖动、4.5 GHz PLL,带11个输出和JESD204B/JESD204C支持数据
2021-04-22 15:52:099

JESD204B串行接口的14位250 Msps ADC系列

JESD204B串行接口的14位250 Msps ADC系列
2021-05-18 15:04:507

LTC6953:超低抖动、4.5 GHz时钟分配器,带11个输出和JESD204B/JESD204C支持数据

LTC6953:超低抖动、4.5 GHz时钟分配器,带11个输出和JESD204B/JESD204C支持数据
2021-05-19 15:23:5314

JESD204B是否真的适合你

作者:Sureena Gupta 如果您有接触使用 FPGA 的高速数据采集设计,没准听说过新术语“JESD204B”。 我在工作中看到过很多工程师询问有关 JESD204B 接口的信息以及
2021-11-10 09:43:33528

JESD204B协议相关介绍与具体应用实例

接触过FPGA高速数据采集设计的朋友,应该会听过新术语“JESD204B”。这是一种新型的基于高速SERDES的ADC/DAC数据传输接口。随着ADC/DAC的采样速率变得越来越高,数据的吞吐量
2022-07-04 09:21:583448

JESD204B时钟网络原理概述

明德扬的JESD204B采集卡项目综合上板后,可以使用上位机通过千兆网来配置AD9144和AD9516板卡,实现高速ad采集。最终可以在示波器和上位机上采集到设定频率的正弦波。本文重点介绍JESD204B时钟网络。
2022-07-07 08:58:111296

如何构建您的JESD204B 链路

如何构建您的JESD204B 链路
2022-11-04 09:52:113

理解JESD204B协议

理解JESD204B协议
2022-11-04 09:52:123

JESD204B:适合您吗?

JESD204B:适合您吗?
2022-11-07 08:07:230

JESD204B与串行LVDS接口在宽带数据转换器应用中的考虑因素

JESD204A/JESD204B串行接口行业标准旨在解决以高效和节省成本的方式将最新的宽带数据转换器与其他系统IC互连的问题。其动机是标准化接口,通过使用可扩展的高速串行接口,减少数据转换器与其他设备(如现场可编程门阵列(FGPA)和片上系统(SoC))设备)之间的数字输入/输出数量。
2022-12-21 14:44:20966

JESD204B学习手册

JESD204B接口一般用在高速的AD和DA芯片上,用于传输采集到的数据。该接口相比LVDS可以减少大量的IO管脚,所以正在逐步取代LVDS接口(引用wp446-jesd204b.pdf)。
2022-12-22 09:45:181774

FMC子卡设计原理图:FMCJ456-基于JESD204B的2路3GspsAD 2路3Gsps DA FMC子卡

MC子卡模块, 超宽带接收机, 多通道MIMO通信, JESD204B板卡, JESD204B
2023-01-06 10:06:44439

在赛灵思FPGA上快速实现JESD204B

JESD204是一款高速串行接口,用于将数据转换器(ADC和DAC)连接到逻辑器件。该标准的修订版B支持高达12.5 Gbps的串行数据速率,并确保JESD204链路上的可重复确定性延迟。随着转换器速度和分辨率的不断提高,JESD204B接口在ADI公司的高速转换器和集成RF收发器中变得越来越普遍。
2023-01-09 16:41:382969

JESD204B是FPGA中的新流行语吗

JESD204B规范是JEDEC标准发布的较新版本,适用于数据转换器和逻辑器件。如果您正在使用FPGA进行高速数据采集设计,您会听到新的流行词“JESD204B”。与LVDS和CMOS接口相比,这一较新的版本具有显著的优势,因为它包括更简单的布局和更少的引脚数。
2023-05-26 14:49:31361

JESD204B链路中断时的基本调试技巧

本文旨在提供发生 JESD204B 链路中断情况下的调试技巧简介
2023-07-10 16:32:03802

AD9207: 12-Bit, 6 GSPS, JESD204B/JESD204C Dual ADC Data Sheet AD9207: 12-Bit, 6 GSPS, JESD204B/JESD204C Dual ADC Data Sheet

电子发烧友网为你提供ADI(ADI)AD9207: 12-Bit, 6 GSPS, JESD204B/JESD204C Dual ADC Data Sheet相关产品参数、数据手册,更有AD9207
2023-10-16 19:02:55

JESD204B规范的传输层介绍

电子发烧友网站提供《JESD204B规范的传输层介绍.pdf》资料免费下载
2023-11-28 10:43:310

已全部加载完成