电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>FPGA/ASIC技术>Xilinx ISE是如何调用ModelSim进行仿真的

Xilinx ISE是如何调用ModelSim进行仿真的

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

Xilinx ISE Design Suite 12.3 下

Xilinx ISE Design Suite 12.3 – 现已面市!
2010-10-09 15:22:091235

Xilinx ISE Design Suite 14.2 安装图解

电子发烧友网核心提示 :Xilinx ISE 14.2安装指南,包括Xilinx ISE 14.2软件下载、Xilinx ISE 14.2软件安装、Xilinx ISE 14.2相关产品介绍、Xilinx ISE软件激活、Xilinx ISE14.2软件启动和Xilinx ISE 14.2新建工
2012-10-31 11:59:1361264

怎样单独使用modelsim仿真xilinx呢?

直接在modelsim软件内执行.do文件进行仿真,不通过vivado调用modelsim,vivado仅用于生成IP核。
2023-12-04 18:26:34477

ISE,modelsim和synplify是什么关系?

仿真的工具。synplify:一般用来进行综合的工具。为什么要用modelsim和synplify呢?因为synplify是专业的综合工具,综合的效果肯定比ISE自带的综合器要强大的多。。。对于很大
2016-03-15 13:40:51

ISEModelsim联调

直接进行编写,那么我们就需要在文件夹下面对所用到的文件的属性进行修改。ISEModelsim的联调之前的设置:1.开始-所有程序-Xilinx ISE Design Suits ->ISE
2015-01-27 10:21:14

ISEModelsim联合仿真_修订版

ISEModelsim联合仿真_修订版
2014-01-26 22:44:31

ISEmodelsim联合仿真的问题

ISEmodelsim联合仿真,每次在ISE修改程序后必须把原来打开的modelsim关闭了再重新打开吗?
2017-02-21 20:40:27

ISE是如何调用ModelSim进行仿真

的波形--->运行仿真。如果仿真结果不理想,还得需要重新修改代码,重复上述的操作。计算机擅长做重复的事情,为什么不让计算机代劳呢?我们可以参照Xilinx ISE是如何调用ModelSim进行仿真的
2019-06-03 09:11:11

ISE联合Modelsim进行仿真

ISE联合Modelsim进行仿真,里面介绍得非常详细
2018-01-12 15:01:09

Modelsim 仿真出错 “Module 'IBUFG' is not defined”

大家好!我在使用Modelsim进行仿真时,从 ISE 启动 Modelsim 仿真是完全正常的,但从 Modelsim 直接建立工程,进行仿真,总是提示“Module 'IBUFG
2015-01-26 23:17:51

Modelsim 仿真问题 “unisim” Does not exist

在使用 ISE 软件进行仿真仿真工具是 Modelsim,提示错误,错误详情如下: > unisim (ERROR: Library path "d:/Xilinx/14.3
2015-01-19 14:12:08

Modelsim SE仿真ISE下定制的ROM

这次利用Xilinx公司的芯片做FPGA开发的时候用到了ROM,肯定要对ROM做仿真,经过了一天的努力,总算可以做仿真了,现在把过程写出来,供大家参考一下。1.首先需要编译XINLINX的库文件
2012-02-29 10:44:56

Modelsim仿真出错

各位,小弟最近遇到一个很奇怪的问题。我在使用modelsim进行仿真时,无论是通过quartus调用还是直接运行modelsim,都会遇到提示:“error loading design“,从而仿真
2015-08-30 22:14:39

Modelsim如何仿真一个工程文件

我之前是使用quartus和Modelsim联调的,直接从Quartus里调用仿真的时候可以看到Quartus整个工程文件下的输入输出值和工程里各个模块的输入输出值都可以看到。但是我现在
2015-10-12 10:41:08

XILINX ISE 13.4 时序仿真问题

我使用ISE 13.4对设计的代码进行逻辑综合和布局布线等。写的测试文件调用了一个RAM IP核,见图1。其中test_HRV_top为测试文件,调用了RAM_fangzhen这个IP核和设计顶层
2015-08-29 16:55:16

Xilinx ISE 12.2 调用 Modelsim 进行行为仿真详解

Xilinx ISE 12.2调用 Modelsim 进行行为仿真详解最近闲来无事,整点东西,以飨各位。第一步:新建工程: File->New Project 创建工程 cnt_for_sim
2012-02-29 10:17:25

Xilinx ISE 12.2 调用Modelsim进行行为仿真详解

本帖最后由 eehome 于 2013-1-5 09:54 编辑 Xilinx ISE 12.2 调用Modelsim进行行为仿真详解
2012-03-05 16:05:08

Xilinx FPGA 仿真环境设置(ISE + Modelsim + Debussy)

目的:使用ISE调用modelsim进行仿真,并使用debussy查看仿真波形准备:安装ISEModelsim和Debussy软件将C:\modeltech_6.5a\modelsim.ini设置
2012-03-08 15:27:01

Xilinx FPGA入门连载10:Modelsim仿真验证

`Xilinx FPGA入门连载10:Modelsim仿真验证特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1jGjAhEm 1 ISE基本设置好,既然
2015-09-25 12:39:34

Xilinx FPGA入门连载5:ISEModelsim联合仿真之库编译

`Xilinx FPGA入门连载5:ISEModelsim联合仿真之库编译特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1jGjAhEm1 操作系统
2015-09-14 12:37:44

Xilinx FPGA入门连载6:ISEModelsim联合仿真之关联设置

`Xilinx FPGA入门连载6:ISEModelsim联合仿真之关联设置特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1jGjAhEm 1 ISE
2015-09-16 13:06:48

Xilinx仿真DDR3

modelsim仿真DDR3时,出现下面错误。Instantiation of 'B_MCB' failed. The design unit was not found.并没有用ISE联合仿真
2016-01-21 10:12:40

ise12.2 与 什么版本的 modelsim 关联?

如题,如果想用modelsim 仿真ise12.2的工程,应该用什么版本的modelsim? 求指教!!
2013-08-26 19:04:37

isemodelsim联合仿真每次修改都要重新启动modelsim

刚开始用modelsim,在isemodelsim的联合仿真中,一般都是从ise中启动modelsim的,但是如果仿真文件有错,或者要修改仿真文件,难道都要先关掉modelsim,然后再在ise中打开modelsim,不能不关闭modelsim直接进行修改仿真文件,然后直接进行仿真
2015-11-12 10:11:44

ise的除法器modelsim仿不了?

`大虾们,小女子最近调程序的时候用到了ise ip core的除法器,但是调用modelsim仿真的时候发现木有进行除法啊,单独写了个除法器也还是用不了,这是什么情况呢?(vhdl写的程序哈)`
2013-06-15 11:52:45

ise联合modelsim仿真时出错

ise调用modelsim时出现
2017-01-01 10:29:46

modelsim 加载xilinx库问题

ModelSim工程文件目录中,并将*.v中模块名称改为我自己工程文件中调用的模块名。4、将ModelSim跟目录下的modelsim.ini文件的只读属性去掉。5、将compxlib文件所在目录(即Xilinx
2012-05-15 19:02:08

modelsim10破解过程遇到难题

:\modeltech_10.1c\Xilinx_lib 开始编译XILINX仿真库,等待完成,如果0 error则正确,D:\..为你安装MODELSIM的目录,改为自己的目录
2017-04-16 20:36:41

modelsim仿真

请问一下在ISE14.6中编译完modelsim10.0a,在用modelsim进行仿真时会闪退是怎么回事,有什么解决办法?期待热心的你给以指教!
2016-05-19 21:10:18

Altera和Xilinx Modelsim仿真

Altera和Xilinx Modelsim仿真库Altera和Xilinx Modelsim仿真库 我们通常使用modelsim软件作为仿真工具,不同阶段的仿真使用不同的库文件,在开始仿真前将库
2012-08-10 18:31:02

FPGA基础篇(三):modelsim仿真的几个常见问题

FPGA基础篇(三):modelsim仿真的几个常见问题对于FPGA开发的流程无外乎就三步,第一编写程序,第二仿真,第三仿真结束之后下载到板子。其中仿真是必须经历的过程,对于altera的芯片来说
2017-04-15 21:46:29

FPGA开发工具----ISE 开发工具、简介、作用

HDLBencher,同时又提供了使用 Model Technology 公司的 ModelSim 进行仿真的接口。• 实现(Implementation) 此功能包括了翻译(Translate
2018-09-27 09:29:57

Quartus17.0调用Modelsim仿真PLL无输出

本人安装的是Quartus17.0-Lite(配套Modelsim-Altera)添加PLL的IP核,仿真的时候c0输出高阻,locked一直输出低;选用:Cyclone10
2017-11-05 11:59:40

quartus调用modelsim仿真,一闪就关闭

为什么quartusII 调用modelsim仿真时,modelsim还没出波形前就自动关闭,但是单独打开modelsim时,它又是可以的,求高手解答!!1!!!
2015-10-12 21:32:17

Xilinx ISE14.7版本兼容的modelsim应该安装哪个版本

本人使用的是ISE14.7这个版本,现在安装modelsim仿真软件,请问最好是下载哪个版本的啊,求大神支招!非常感谢
2016-10-09 21:47:21

使用ISE调用Modelsim时,出现Unable to automatically find executables f...

各位大神,本人FPGA初学者,在使用ISE调用Modelsim时,出现这样的问题Unable to automatically find executables for simulator 'mti_se' from the following paths:,这个该怎么解决哇。
2012-09-13 21:12:34

关于ISE14.7联合modelsim仿真出现的问题

`小弟最近在使用ISE14.7和modelsim联合仿真的时候出现了一些问题,百度很久也不能解决,特来论坛求助各位大哥!!!!真心求助!!!!具体问题就是在启动仿真的时候ISE14.7会出现一个警告
2017-09-14 23:07:53

关于Modelsim仿真ise中fatalerror

ise没啥问题,,,用modelsim仿真就这样了,哪个哥哥能交下感谢
2018-11-27 11:36:26

关于Modelsim的使用方法请教

我是通过quartus来调用Modelsim的,比如程序写完之后综合完成后调用Modelsim进行仿真。但是如果发现仿真的结果不对,我就要去返回修改verilog代码,再编译综合。那么问题来了,此时
2015-10-10 11:33:01

关于modelsim仿真的问题

在quartus 中编好程序,生成仿真需要的 .vho 和 .sdf 文件在用modelsim仿真的时候出现如下错误,# ** Error: (vsim-SDF-3250) C:/Users
2016-04-05 13:28:34

利用ModelSim SE6.0C实现时序仿真

时就能看到像在功能仿真的工程文件层次结构,可以找到定义的内部信号。因为在做后仿时,源文件中的信号名称已经没有了,被映射为软件综合后自己生成的信号名,观察起来很不方便。这个设置与ISE里综合右键属性
2012-03-01 11:46:29

勇敢的芯伴你玩转ALTERA FPGA连载47:基于仿真的第一个工程实例之调用MODELSIM仿真

`勇敢的芯伴你玩转Altera FPGA连载47:基于仿真的第一个工程实例之调用Modelsim仿真特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s
2018-02-05 15:37:06

MODELSIM仿真XILINX工程

本帖最后由 lee_st 于 2017-10-31 09:24 编辑 在MODELSIM仿真XILINX工程
2017-10-30 17:06:29

MODELSIM仿真XILINX工程

MODELSIM仿真XILINX工程
2018-01-24 17:25:05

ModelSim中添加xilinx仿真

ModelSim中添加xilinx仿真库的具体步骤
2018-09-12 14:58:15

在Altera Quartus II下如何调用ModelSim进行仿真

在Altera Quartus II下如何调用ModelSim进行仿真
2021-04-30 07:15:55

在使用ModelSim进行仿真的时候这么才能使数字显示十进制

RT,在用ModelSim进行功能仿真的时候,出现的是二进制的数字。这样看着很麻烦也不利于观察,我在其他资料里看到有用十进制显示的,有没有什么方法可以使他显示成十进制,谢谢!
2014-09-02 19:37:06

如何使用quartus ii 和modelsim -ae 快速进行Testbench功能仿真

这里给出大部分的文字,工程和完成的在附件里,我实在懒得在把文档补齐截图Modelsim 进行VHDL仿真的预备知识这里即将介绍使用Modelsim 进行VHDL仿真的预备知识(大部分参考至网络
2015-11-29 21:35:23

如何在ISE环境中使用ModelSim仿真

如何在ISE环境中使用ModelSim仿真
2012-08-20 18:45:23

安富利GSM给您讲讲使用ModelSim 进行设计仿真

文件,可以在ISE 中生成设计的行为仿真(将设计转换为RTL 描述后进行仿真)、转换后仿真(将设计转换为Xilinx 器件的基本模块来实现后进行仿真)、映射后仿真(将设计用Xilinx 的具体器件
2012-02-24 21:51:12

安富利GSM给您说说ModelSim仿真XILINX库添加

本帖最后由 diangongshi 于 2012-2-24 21:42 编辑 盖住了版本号,为的是告诉大家,这个各个版本通用。在使用ModelSim软件对Xilinx ISE进行仿真时,需要
2012-02-24 21:40:17

急急急!Xilinx仿真出错

本帖最后由 阿兮 于 2014-9-17 18:56 编辑 小弟初学者,使用ISE仿真调用Modelsim)时出现以下错误,希望各位大哥大姐帮忙看下怎么解决,拜谢!ERROR
2014-09-17 18:56:55

新人求助关于modelsim仿真的问题

最近几天在学习用quartus调用modelsim进行仿真,可是仿真的时候一直出现这种情况,一直处于loading的状态。求帮助。另外我的版本是quartus9,modelsim10.1c64位。重装也没用。
2014-10-26 16:12:08

求助:如何提高modelsim仿真速度?

利用ise编写的fpga工程,采用modelsim进行仿真,如何提高仿真的速度?这里提高速度指的不是优化程序,而是采用提高电脑硬件配置,或者采用硬件加速,或者软硬件联合仿真的方法进行加速。请高手具体
2016-04-16 20:32:36

ISE关联Modelsim关联仿真后,对源文件修改怎样快速仿真

现在做仿真,每次在ISE上编辑代码然后直接launch关联的Modelsim进行仿真,稍微做一点修改保存后就需要关掉Modelsim,再从新从ISE上重新luanch仿真。这样又重新得填写信号,改
2017-08-28 21:06:27

请问modelsim怎么编译ISE的IP核

没用过ISE,不知道生成的IP核文件夹中 ,哪些是需要添加到modelsim中用于仿真的文件 。拿ram举例,仿真库文件还应该添加哪些内容。希望大神们指导下 多谢
2018-12-18 17:58:32

Quartus II与ModelSim功能仿真与后仿真扫盲

本文主要描述了如何在 QUARTUS II 中输入程序文件,生成网表及标准延时文件,然后通过MODELSIM 进行功能仿真与后仿真的过程,主要为图解,含全部代码及仿真波形。
2009-07-22 15:44:530

ModelSim,synplify,ISE仿真流程

我把我用到的软件说明一下。如果你发现根据我的操作,你还是解决不了ModelSim仿真的问题,那就可能是软件版本的问题。
2009-07-22 15:46:270

FPGA CPLD设计工具——Xilinx ISE使用

FPGACPLD设计工具——Xilinx ISE使用详解的主要内容:第1章 ISE系统简介第2章 工程管理器与设计输入工具第3章 ModelSim仿真工具第4章 ISE中集成的综合工具第5章 约束第6章
2009-07-24 16:06:58197

基于ISEmodelsim的后仿真方法

我想很多人跟我一样,被ModelSim的后仿真搞的头晕脑胀。为了这个问题,我在网上找了很多的资料,但发现这些资料往往说的不明白。一些步骤被作者有意无意地省略掉,这常常给读
2010-03-31 09:46:36112

xilinx ISE设计套装10.1下载

xilinx ISE设计套装10.1下载
2010-04-17 16:15:181915

Xilinx FPGA开发环境的配置

一、配置Modelsim ISEXilinx仿真库 1、编译仿真库: A、先将Modelsim安装目录C=Modeltech_6.2b下面的modelsim.ini改成存档格式(取消只读模式); B、在DOS环境中,进入Xilinx的根目录,然后依次进入
2011-03-30 10:19:07307

使用ModelSim进行设计仿真

ModelSim为HDL仿真工具,我们可以利用该软件来实现对所设计的VHDL或Verilog程序进行仿真,支持IEEE常见的各种硬件描述语言标准。可以进行两种语言的混合仿真,但推荐大家只对一种语言
2011-04-19 20:52:46151

基于移位相加运算的乘法器设计

1、熟悉XilinxISE 软件的设计流程; 2、并使用移位相加运算设计一个4*4位的乘法器; 3、掌握ISE 仿真器或Modelsim仿真软件的使用方法; 4、用ISE 仿真器或Modelsim仿真软件对设计进行仿真
2011-05-20 15:32:4579

使用 ModelSim 进行设计仿真

ModelSim为HDL仿真工具,我们可以利用该软件来实现对所设计的VHDL或Verilog程序进行仿真,支持IEEE常见的各种硬件描述语言标准。可以进行两种语言的混合仿真,但推荐大家只对一种语言
2011-05-27 16:41:59132

XILINX FPGA CPLD设计_ISE快速入门

本教程主要是向ISE的初学者描述和演示, 在XILINXISE集成软件环境中如何用VHDL和原理图的方式进行设计输入如何用 ModelSim 仿真工具对设计进行功能仿真和时序仿真如何实现设计.
2011-11-01 14:44:070

Altera ModelSim 6.5仿真入门教程

Altera ModelSim 6.5仿真入门教程,需要的可自行下载。 平台 软件:ModelSim-Altera 6.5e (Quartus II 10.0) Starter Edition 内容 1 设计流程 使用ModelSim仿真的基本流程为: 图1.1 使用 ModelSim仿真的基本
2012-08-15 15:40:24255

MODELSIM仿真(适合xilinx ISE)

基于Xilinx ISEmodelsim仿真教程
2015-11-30 15:52:568

使用 ModelSim 进行设计仿真详解

本章为ModelSim的初级教程,读者读完本章可以较为熟练的使用ModelSim进行设计仿真,本章没有也不可能涉及ModelSim的各个方面,要想全面的掌握ModelSim可以参阅软件文档。
2015-12-24 18:29:370

Xilinx_ISE软件简单教程

xilinx_ise9.01中文教程 xilinx_ise9.01中文教程
2016-02-18 18:16:580

XILINX-ISE-14.5设计教程

xilinx-ise 新手教程VHDL的,感兴趣的可以看看。
2016-09-27 15:19:0377

Modelsim编译Xilinx器件库的另一种方法

以前在用modelsimXilinx进行器件库编译时,我用的比较多的是直接在ISE中编译器件库,感觉很方便简单,就是编译时间有点长。自从前段时间,在自己电脑装MathType,360杀毒软件将它
2017-02-08 12:20:12207

关于xilinx14.6在modelsim SE 10.1a仿真中遇到的若干问题

由于两个软件都是较新的版本,在配合使用过程中出现的问题会比较多,且与之前版本的解决办法有出入。 1.在使用ModelSim软件对Xilinx ISE进行仿真时,需要先编译Xilinx仿真库。这个
2017-02-08 15:20:11826

新手学习FPGA之Xilinx篇如何将ISEModelSim关联

最近在学习FPGA,使用ModelSimISE进行仿真,首先要将ISEModelSim进行有效的关联,折腾了一天终于弄懂了如何将他们有效的关联在一起。 (1)首先要安装ModelSim,注意
2017-02-09 01:34:111385

ISE13.1调用Modelsim10.0出现的一点小问题及解决过程

在System Generator做了点仿真,验证成功之后,自动生成了testbench文件,然后在ISE中打开生成的工程,调用Modelsim选择behavior仿真......
2017-02-11 11:02:021911

减少Xilinx IseModelsim联合仿真的错误方法

我们经常使用Xilinx IseModelsim联合仿真,但是经常出现一些由于库没有编译而出现的错误!下面是我总结的方法:
2017-02-11 13:43:061348

记利用compxlibgui工具编译Xilinx

ISE调用ModelSim进行仿真的时候,如果在FPGA设计中使用了Xilinx提供的的IP core或者其他的原语语句,ModelSim不添加Xilinx相应的库文件的话,是无法仿真的
2017-02-11 15:22:371274

关于quartus如何调用modelsim详细解说

本文主要详细介绍了在Quartus II 11.0中调用ModelSim-Altera 6.5e,另外还介绍了Quartus II调用modelsim无缝仿真教程。
2018-05-18 10:39:1634625

使用Vivado 2017调用Modelsim的详细步骤

本次使用的Vivado版本为Vivado_2017.3版本,从Xilinx官方文档可以了解到,该版本的Vivado只支持Modelsim10.6或者更高版本,但是笔者只有Modelsim
2019-03-30 09:51:4616946

Modelsim仿真教程Modelsim的基础入门基础教程免费下载

Modelsim,但是Modelsim不是仿真,严格来讲Modelsim只是仿真所需的工具而已,又或者说Modelsim只是学习仿真的一部小插曲而已。除此之外,笔者也认为仿真可以是验证语言,但是验证语言却不是仿真,因为验证语言只是仿真的一小部分而已,事实上仿真也不一定需要验
2019-04-30 18:24:0023

如何在Quartus13.0中调用Modelsim详细的步骤说明

本文档的主要内容详细介绍的是结合实例,通过图片及配套文字解说,分步骤详细介绍了Quartus13.0环境中调用Modelsim进行功能仿真
2019-07-01 08:00:007

基于ModelSim使用二联合Quarus自动仿真教程

ModelSim 自动仿真,所谓自动仿真,其实是在 Quartus II 中调用 ModelSim 软件来进行仿真。 在调用过程中,Quartus II 会帮我们完成 ModelSim 中的所有操作,我们
2021-07-23 10:51:171710

基于ModelSim使用四ModelSim手动仿真教程

4.1 新建仿真工程 在开始动手仿真之前,首先,我们需要创建一个文件夹用来放置我们的 ModelSim 仿真工程文件,这里我们就在之前创建的 Quartus 工程目录下的 simulation
2021-07-23 11:10:483514

基于ModelSim使用modelsim手动时序仿真教程

)文件和延时(.sdo)文件,其实我们在自动仿真的配置仿真功能中已经生成了,当我们配置好仿真功能之后,我们在 Quartus 进行一次全编译。 这时,我们打开 Quartus 工程目录
2021-07-23 11:55:411915

Vivado调用Questa Sim或ModelSim仿真小技巧

调用第三方仿真软件查看波形的过程中存在的一些问题。 1、添加新的观测信号需要重新仿真 Vivado直接调用Modelsim/QuestaSim进行仿真时,波形文件里默认只会出现仿真最顶层中包含
2021-09-02 10:12:067274

使用ModelSim软件进行时序仿真

通过该图,我们可以看出,这个工程是我们之前做功能仿真的工程,当我们关闭 ModelSim之后,我们再次打开 ModelSim 这个软件,它会自动记录上一个我们使用的工程并打开。我们就直接在这个工程
2022-07-18 14:17:25894

modelsim波形仿真的基本操作了解

的时间在5分钟左右。为此,通过不断地学习,终于将波形仿真的各个事项了解清楚。这里做一下记录,方便以后回顾。
2022-11-29 09:52:132374

Vivado调用Modelsim仿真

Modelsim是十分常用的外部仿真工具,在Vivado中也可以调用Modelsim进行仿真,下面将介绍如何对vivado进行配置并调用Modelsim进行仿真,在进行仿真之前需要提前安装Modelsim软件。
2023-07-24 09:04:431817

如何使用 ModelSim 进行设计仿真

ModelSim为HDL仿真工具,我们可以利用该软件来实现对所设计的VHDL或Verilog程 序进行仿真,支持IEEE常见的各种硬件描述语言标准。可以进行两种语言的混合仿真,但 推荐大家只对一种语言仿真
2024-01-14 09:47:470

ADS调用spectre网表仿真异常—薛定谔的NetlistInclude

ADS是支持调用spice/spectre等网表文件进行仿真的,可以用NetlistInclude控件来进行调用
2024-03-07 09:57:11226

已全部加载完成