电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>FPGA/ASIC技术>TLC5510 VHDL控制程序

TLC5510 VHDL控制程序

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

采用TLC5510数据采集整形触发电路设计

TLC5510的基准电源有多种接法,根据不同场合选择适当基准电源,利用内部基准源,TLC5510典型应用电路如图所示。由于其测量范围为0.6 V~2.6 V。
2014-12-11 14:52:345871

TLC5510模数转换器的特点及在线阵CCD数据采集系统中的应用

TLC5510是美国TI公司生产的新型模数转换器件(ADC),它是一种采用CMOS工艺制造的8位高阻抗并行A/D芯片,能提供的最小采样率为20MSPS.由于TLC5510采用了半闪速结构及CMOS工艺,因而大大减少了器件中比较器的数量,而且在高速转换的同时能够保持较低的功耗。
2020-07-17 14:29:281787

TLC5510EVM

EVAL MOD FOR TLC5510
2023-03-30 11:47:20

TLC5510噪声问题

本帖最后由 后荫榆枫 于 2013-8-18 21:25 编辑 我用TI的TLC5510作为FPGA的AD使用,可是现在信号一直调理不好,接入信号后,TLC5510板子上的地线和电源线上的噪声
2013-08-18 21:23:11

TLC5510如何得到高频率的时钟信号

TLC5510模数转换芯片,如果我需要转换10M的信号,那么芯片的采样时钟频率则至少需要20M,或者更大,单片机无法产生这么高的时钟频率,我该如何得到高频率的时钟信号呢
2019-06-10 08:52:31

AKC6951的控制程序

请问有谁写过收音机芯片AKC6951的控制程序
2017-08-29 13:58:32

FPGA 编程开发实例

;ADC0809 VHDL控制程序TLC5510 VHDL控制程序;DAC0832 接口电路程序TLC7524接口电路程序;&nbsp
2008-06-27 10:41:44

PID控制程序

有哪位好心人帮忙编一道PID控制程序,注意位数等的范围,并要求附上Kp,Ti,Td的范围。谢谢!
2012-05-03 14:49:24

PLc模糊控制程序设计

PLc模糊控制程序设计
2015-04-08 17:59:42

STM32超级终端串口控制程序分享

STM32超级终端串口控制程序分享
2021-12-08 06:32:22

TCD1208AP和TLC5510应该如何连接?

哪位大神知道,TCD120AP输出信号应该如何用AD芯片处理,比如TCD1208的输出信号如何处理才能·和TLC5510连接?有否相关电路图供小生参考,将不胜感激!!!
2014-12-14 22:29:20

fpga很有价值的27教程

fpga很有价值的27教程LED控制VHDL程序与仿真 LED控制VHDL程序与仿真;LCD控制VHDL程序与仿真 LCD控制VHDL程序与仿真;ADC0809 VHDL控制程序TLC5510
2008-05-20 09:51:33

keithley2400的串口控制程序

keithley2400的串口控制程序,最好还是用GPIB通信
2016-12-15 23:49:30

事件结构控制程序暂停的例程

看到很多大神发用事件结构控制程序暂停的例程,但是我还是不懂,希望大家帮忙给我发几个,谢谢大家这个是我自己写的,用的顺序和while
2015-05-16 15:47:07

如何将stm32的控制程序转成51的程序?

如何将stm32的控制程序转成51的程序,用的是意法的传感器,给的控制程序也是32的
2023-11-03 08:07:08

我写的ATMEGA128的舵机控制程序用不了,可以提供控制一个舵机的控制程序

我写的ATMEGA128的舵机控制程序怎么用不了,那位可以提供控制一个舵机的控制程序
2019-01-11 10:45:19

步进电机控制程序编写

步进电机控制程序编写,在3399上控制步进电机,即控制GPIO口,需要使用库WiringPi,其相关资料和使用方法参考http://wiki.friendlyarm.com/wiki
2021-07-08 09:59:08

求ISD1760语音芯片的VHDL控制程序~~

如题。。谁有ISD1760芯片的VHDL录放音控制程序,求参考~~感激涕零~~~
2013-06-27 22:40:13

求一个控制程序

求一个完整点的控制程序,什么都可以,想要借鉴一下别人都是怎么写的程序
2016-03-26 17:48:27

求助tlc5510电路图

求教各位用过tlc5510的,我的电路直接在输出口3-10引脚接发光二极管,按道理输出应该是5V或者0V,但是我的输出电压竟然有中间值,2.7V,1.2V等等,有谁的成功用过TLC5510的求个原理图,万分感谢
2017-01-17 19:56:58

求助:TCD1208AP和TLC5510连接问题

本帖最后由 gk320830 于 2015-3-4 14:20 编辑 哪位大神知道,TCD120AP输出信号应该如何用AD芯片处理,比如TCD1208的输出信号如何处理才能·和TLC5510连接?有否相关电路图供小生参考,将不胜感激!!!
2014-12-14 22:23:28

求教ADF4360-0控制程序怎么写

控制程序写好后,怎么送入4360-0呢?用小单片机吗?
2019-01-02 11:22:04

经典键盘控制程序

经典键盘控制程序
2012-08-11 23:37:48

舵机控制程序

舵机控制程序,仅供参考{:soso_e113:}
2012-05-16 14:46:44

请问TLC5510延迟时间是什么?

等于转换速率。当我在看TLC5510的datasheet时,发现从模拟电压信号输入到数字信号输出,要有2.5个时钟周期的延迟,请问这个延迟时间是“ADC完成一次从模拟到数字转换”需要的时间吗?但是
2019-05-22 07:16:23

请问有没有编过模糊PID控制程序或神经网络控制程序

各位大神,请问有没有编过模糊PID控制程序或神经网络控制程序
2015-01-12 10:50:48

高价求代做LabVIEW模糊控制程序

高价求代做LabVIEW模糊控制程序
2018-03-31 16:26:17

8位高速A/D转换器TLC5510的知识点,不看肯定后悔

TLC5510内部结构、引脚说明及工作原理TLC5510在线阵CCD数据系统中的应用
2021-04-22 06:51:21

tlc5510 pdf

The TLC5510 and TLC5510A are CMOS, 8-bit, 20MSPS analog-to-digital converters (ADCs) thatutilize
2008-06-27 11:13:57204

tlc5510中文资料pdf

TLC5510是CMOS、8位、20MSPS模拟棗数字转换器(ADC) ,它利用了半闪速结构(semiflasharchitecture) 。TLC5510用单5V电源工作且只消耗100mW(典型值)的功率。它还包含有内部采样和保持电
2008-06-27 11:15:56482

步进电机控制程序(PLC控制)

步进电机控制程序(PLC控制)
2008-10-10 14:35:0265

8位高速AD转换器TLC5510的应用

TLC5510是美国德州仪器公司生产的8位半闪速结构模数转换器,它采用CMOS工艺制造,可提供最小20Msps的采样率.可广泛用于数字TV、医学图像、视频会议、高速数据转换以及QAM解调器等方面
2009-04-22 15:23:3464

实现TLC5510模数转换器与TMS320C203 DSP的

Analog to Digital Converter to the TMS320C203 DSP (literature number SLAA029) thatpresents guidelines for interfacing the TI TLC5510 analog-
2009-06-12 14:26:1855

CMP指令应用脉冲定位控制程序

CMP指令应用脉冲定位控制程序  
2009-07-04 08:17:3032

步进电机控制程序STEPPER.C

步进电机控制程序ST
2010-01-09 15:19:176

闪速8 AD转换器TLC5510与单片微机的接口技术

TLC5510 是美国德州仪器公司生产的8位闪速结构模数转换器,采用CMOS 工艺制造,采样速率高达20MSPS。广泛用于数字TV、医学图像、视频会议、高速数据转换以及QAM解调器等
2010-01-25 14:49:2681

红外遥控灯电路及控制程序

红外遥控灯电路及控制程序
2010-03-11 09:41:07198

TLC5540/TLC5510/TLC5510A EVM

This user’s guide serves as a reference book for the TLC5540/TLC5510/TLC5510A. This document
2010-06-02 09:24:348

TLC5510A/TLC5510 (8-Bit High-S

The TLC5510 and TLC5510A are CMOS, 8-bit, 20 MSPS analog-to-digital converters (ADCs) that utilize
2010-06-02 09:28:4518

Interfacing the TLC5510 Analog

Analog to Digital Converter to the TMS320C203 DSP  (literature number SLAA029) thatpresents guidelines for interfacing the TI TLC5510 a
2010-06-02 09:42:007

TLC5510 analog to digital conv

;) TLC5510 8-bit parallel-output analog-to-digital converter (ADC) to the TI TMS320C203 DSP data bus. The 8-bit ADC operates at a rate of 20 MHz. T
2010-06-02 09:54:1811

KEEP指令应用--小车循环运行控制程序

KEEP指令应用--小车循环运行控制程序
2010-07-07 11:56:3819

SFT指令应用小车循环运行控制程序

SFT指令应用小车循环运行控制程序
2010-07-07 12:00:4514

基于TLC5510的数据采集系统设计

   介绍了美国德州仪器公司A/D转换器TLC5510的主要特点及典型应用电路,对于频率较高的电信号,提供基于FPGA控制的等效采样技术,使得TLC5510能对高频周期信号采样。
2010-12-16 15:32:0982

ADC0809 VHDL控制程序

ADC0809 VHDL控制程序--文件名:ADC0809.vhd--功能:基于VHDL语言,实现对ADC0809简单控制--说明:ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟信号,这里由FPGA的
2008-06-27 11:19:282794

LED控制VHDL程序与仿真

LED控制VHDL程序与仿真分别介绍采用FPGA对LED进行静态和动态显示的数字时钟控制程序。1. 例1:FPGA驱动LED静态显示--文件名:decoder.vhd。--功能:译码输出
2008-06-27 11:20:401418

基于CMOS工艺的高阻抗并行A/D芯片TLC5510

  1 概述   TLC5510是美国TI公司生产的新型模数转换器件(ADC),它是一种采用CMOS工艺制造的8位高阻抗并行A/D芯片,能提供的最小采样率为20MSPS。由于TLC5510采用了半闪速
2010-09-03 10:16:031138

无线传输的传感系统中控制程序的设计方法

无线传输的传感系统中控制程序的设计方法摘 要:分析了无线传输的传感系统的控制程序,讨论了通讯协议,接口电路及接口软件的设计,指出了其设计的技术要点。 关键词:无线传输;传感系统;软件
2011-01-30 12:51:2029

TLC5510 高速模数转换器手册

TLC5510是CMOS、8位、20MSPS模拟棗数字转换器(ADC) ,它利用了半闪速结构(semiflash architecture) 。TLC5510用单5V电源工作且只消耗100mW(典型值)的功率。它还包含有内部采样和保持电路,
2011-04-04 16:06:17123

基于VHDL语言对高速A/D器件TLC5510控制的实现

--TLC5510 VHDL 控制程序 --文件名:TLC5510.vhd --功能:基于VHDL语言,实现对高速A/D器件TLC5510控制 --最后修改日期:2004.3.20 library
2012-05-22 10:02:281322

机器人控制程序(舵机型)

机器人控制程序(舵机型)机器人控制程序(舵机型)
2015-11-12 17:23:1716

单片机按键控制程序【汇编版】

单片机按键控制程序【汇编版】单片机按键控制程序【汇编版】单片机按键控制程序【汇编版】单片机按键控制程序【汇编版】
2015-12-29 11:04:020

单片机按键控制程序【C语言】

单片机按键控制程序【C语言】单片机按键控制程序【C语言】单片机按键控制程序【C语言】单片机按键控制程序【C语言】
2015-12-29 11:04:1129

基于单片机的中断控制程序【C语言】

基于单片机的中断控制程序【C语言】,需要的朋友可以下载来看看。
2015-12-29 18:17:217

基于单片机的中断控制程序(蜂铃器_timer1)【汇编】

基于单片机的中断控制程序(蜂铃器_timer1)【汇编】
2015-12-29 18:17:097

基于单片机的中断控制程序(蜂铃器_timer1)【C语言】

基于单片机的中断控制程序(蜂铃器_timer1)【C语言】
2015-12-29 18:17:1513

单片机按键控制程序【C语言+汇编版】

单片机按键控制程序【C语言+汇编版】,按键程序
2016-01-06 11:15:550

多舵机控制程序

多舵机控制程序
2016-03-21 15:43:2812

各种电机的DSP控制程序

各种电机的DSP控制程序,比较全,欢迎大家下载,欢迎交流,一起学习DSP。
2016-04-13 14:46:1751

手势控制程序

这个是小车的手势控制程序,通过单片机可以控制小车来进行活动,比如前进或者后退。
2016-05-11 11:30:1922

步进电机控制程序液晶显示程序

步进电机控制程序液晶显示程序,快来学习吧。
2016-05-12 16:25:3133

PLC控制LED灯数码显示控制程序

PLC控制LED灯数码显示控制程序,初次来到此地,请多关照。
2016-05-19 14:31:120

PS2键盘控制程序

Xilinx FPGA工程例子源码:PS2键盘控制程序
2016-06-07 14:45:0716

tlc5510芯片数据手册

The TLC5510 and TLC5510A are CMOS, 8-bit, 20 MSPS analog-to-digital converters (ADCs) that utilize
2016-10-12 14:57:5436

3种单按钮起挺控制程序

三菱PLC(可编程逻辑控制器)编程实例项目例程——3种单按钮起挺控制程序
2016-11-08 16:03:286

10种单按钮起挺控制程序

三菱PLC(可编程逻辑控制器)编程实例项目例程——10种单按钮起挺控制程序
2016-11-08 16:03:284

TLC5510数据手册

TLC5510数据手册
2017-01-03 11:41:350

小车电机控制程序的编写要求

小车电机控制程序
2017-02-27 16:43:5114

DMX512灯光控制程序资料及案例

DMX512灯光控制程序资料及案例
2017-08-25 10:41:17196

TLC5510数据采集系统设计方案分析

1 TLC5510简介 TLC5510是美国德州仪器(TI)公司的8位半闪速架构A/D转换器,采用CMOS工艺,大大减少比较器数。TLC5510最大可提供20 Ms/s的采样率,可广泛应用于高速数据
2017-10-20 11:31:497

基于WinCE通知API的解析及在控制程序中的应用

基于WinCE通知API的解析及在控制程序中的应用
2017-10-25 09:42:553

基于送料小车自动控制程序

本文档内容介绍了基于送料小车自动控制程序,供网友参考。
2017-12-20 13:38:2514

八个亮度等级的LED控制程序源码下载

八个亮度等级的LED控制程序源码下载
2018-04-27 10:16:325

伺服控制程序源代码下载

伺服控制程序源代码下载
2018-05-02 14:47:3837

TLC5510并行A/D芯片在线阵CCD数据系统中的应用

TLC5510是美国TI公司生产的新型模数转换器件(ADC),它是一种采用CMOS工艺制造的8位高阻抗并行A/D芯片,能提供的最小采样率为20MSPS。由于TLC5510采用了半闪速结构及CMOS工艺,因而大大减少了器件中比较器的数量,而且在高速转换的同时能够保持较低的功耗。
2018-12-31 08:50:002963

TLC5510TLC5510A 8位高速模数转换器的详细

TLC5510TLC5510A是CMOS,8位,20MSPS模数转换器(ADC),它们采用半闪存结构。TLC55 10和TLC55 10A用单个5伏电源工作,通常只消耗130兆瓦的功率。包括内部采样保持电路、具有高阻抗模式的并行输出和内部参考电阻器。
2018-09-19 16:54:2728

TLC5510应用8位半闪速结构模数转换器的介绍和使用手册免费下载

TLC5510是美国德州仪器(TI)公司生产的8位半闪速结构模数转换器,它采用 CMOS工艺制造,可提供最小20Msps 的采样率。可广泛用于数字TV、医学图像、视频会议、高速数据转换以及QAM
2018-10-18 08:00:0011

8位半闪速架构A/D转换器TLC5510的性能特点和典型应用电路研究

TLC5510最大可提供20 Ms/s的采样率,可广泛应用于高速数据转换、数字TV、医学图像、视频会议以及QAM解调器等领域。TLC5510的工作电源为5 V,功耗为100 mW(典型值)。内置采样
2020-07-31 15:38:033176

合泰马达的控制程序源代码免费下载

本文档的主要内容详细介绍的是合泰马达的控制程序源代码免费下载。
2020-11-09 08:00:0019

使用FPGA实现ADC0809的VHDL控制程序免费下载

本文档的主要内容详细介绍的是使用FPGA实现ADC0809的VHDL控制程序免费下载。
2021-01-18 17:17:0017

TLC5510VHDL程序与仿真资料免费下载

本文档的主要内容详细介绍的是TLC5510VHDL程序与仿真资料免费下载。
2021-01-19 14:00:0813

松下电梯门机控制程序文件下载

松下电梯门机控制程序文件下载
2021-03-29 10:40:576

ACS880起重机控制程序

ACS880起重机控制程序免费下载。
2021-05-14 10:40:0211

固件手册之ACS880基本控制程序

固件手册之ACS880基本控制程序说明。
2021-05-24 10:10:0514

电动机点动控制程序及源码下载

电动机点动控制程序及源码下载
2021-05-25 14:03:3810

基于单片机的pid控制程序简介

基于单片机的pid控制程序免费下载。
2021-06-17 16:44:1952

51单片机ADC控制程序

51单片机ADC控制程序(肇庆理士电源技术有限公司电话)-该文档为51单片机ADC控制程序讲解文档,是一份还算不错的参考文档,感兴趣的可以下载看看,,,,,,,,,,,,,,,,,
2021-09-27 16:05:5929

直流无刷电机控制程序

直流无刷电机控制程序(现代电源技术杜少武扫描版)- 直流无刷电机控制程序,可用在直流变频空调上
2021-09-28 12:28:29152

快速掌握PLC控制程序的设计

快速掌握PLC控制程序的设计
2023-03-17 09:42:27240

已全部加载完成