TLC5510 VHDL控制程序
--文件名:TLC5510.vhd
--功能:基于VHDL语言,实现对高速A/D器件TLC5510控制
--最后修改日期:2004.3.20
library ieee;
use ieee.std_logic_1164.all;
entity tlc5510 is
port(clk :in std_logic; --系统时钟
oe :out std_logic; --TLC5510的输出使能/OE
clk1:out std_logic; --TLC5510的转换时钟
din:in std_logic_vector(7 downto 0); --来自TLC5510的采样数据
dout:out std_logic_vector(7 downto 0)); --FPGA数据输出
end tlc5510;
architecture behav of tlc5510 is
signal q:integer range 3 downto 0;
begin
process(clk) --此进程中,把CLK 进行4分频,得到TLC5510的转换时钟
begin
if clk'event and clk='1' then
if q=3 then q<=0;
else q<=q+1;
end if;
end if;
if q>=2 then clk1<='1'; --对系统CLK进行4分频
else clk1<='0';
end if;
end process;
oe<='0'; --输出使能赋低电平
dout<=din; --采样数据输出
end behav;
TLC5510 VHDL控制程序
- vhdl(127605)
- TLC5510(17405)
相关推荐
采用TLC5510数据采集整形触发电路设计
TLC5510的基准电源有多种接法,根据不同场合选择适当基准电源,利用内部基准源,TLC5510典型应用电路如图所示。由于其测量范围为0.6 V~2.6 V。
2014-12-11 14:52:345871
TLC5510模数转换器的特点及在线阵CCD数据采集系统中的应用
TLC5510是美国TI公司生产的新型模数转换器件(ADC),它是一种采用CMOS工艺制造的8位高阻抗并行A/D芯片,能提供的最小采样率为20MSPS.由于TLC5510采用了半闪速结构及CMOS工艺,因而大大减少了器件中比较器的数量,而且在高速转换的同时能够保持较低的功耗。
2020-07-17 14:29:281787
TLC5510噪声问题
本帖最后由 后荫榆枫 于 2013-8-18 21:25 编辑
我用TI的TLC5510作为FPGA的AD使用,可是现在信号一直调理不好,接入信号后,TLC5510板子上的地线和电源线上的噪声
2013-08-18 21:23:11
TLC5510如何得到高频率的时钟信号
TLC5510模数转换芯片,如果我需要转换10M的信号,那么芯片的采样时钟频率则至少需要20M,或者更大,单片机无法产生这么高的时钟频率,我该如何得到高频率的时钟信号呢
2019-06-10 08:52:31
FPGA 编程开发实例
;ADC0809 VHDL控制程序;TLC5510 VHDL控制程序;DAC0832 接口电路程序;TLC7524接口电路程序; 
2008-06-27 10:41:44
TCD1208AP和TLC5510应该如何连接?
哪位大神知道,TCD120AP输出信号应该如何用AD芯片处理,比如TCD1208的输出信号如何处理才能·和TLC5510连接?有否相关电路图供小生参考,将不胜感激!!!
2014-12-14 22:29:20
fpga很有价值的27教程
fpga很有价值的27教程LED控制VHDL程序与仿真 LED控制VHDL程序与仿真;LCD控制VHDL程序与仿真 LCD控制VHDL程序与仿真;ADC0809 VHDL控制程序;TLC5510
2008-05-20 09:51:33
我写的ATMEGA128的舵机控制程序用不了,可以提供控制一个舵机的控制程序吗
我写的ATMEGA128的舵机控制程序怎么用不了,那位可以提供控制一个舵机的控制程序
2019-01-11 10:45:19
步进电机控制程序编写
步进电机控制程序编写,在3399上控制步进电机,即控制GPIO口,需要使用库WiringPi,其相关资料和使用方法参考http://wiki.friendlyarm.com/wiki
2021-07-08 09:59:08
求助tlc5510电路图
求教各位用过tlc5510的,我的电路直接在输出口3-10引脚接发光二极管,按道理输出应该是5V或者0V,但是我的输出电压竟然有中间值,2.7V,1.2V等等,有谁的成功用过TLC5510的求个原理图,万分感谢
2017-01-17 19:56:58
求助:TCD1208AP和TLC5510连接问题
本帖最后由 gk320830 于 2015-3-4 14:20 编辑
哪位大神知道,TCD120AP输出信号应该如何用AD芯片处理,比如TCD1208的输出信号如何处理才能·和TLC5510连接?有否相关电路图供小生参考,将不胜感激!!!
2014-12-14 22:23:28
请问TLC5510延迟时间是什么?
等于转换速率。当我在看TLC5510的datasheet时,发现从模拟电压信号输入到数字信号输出,要有2.5个时钟周期的延迟,请问这个延迟时间是“ADC完成一次从模拟到数字转换”需要的时间吗?但是
2019-05-22 07:16:23
tlc5510 pdf
The TLC5510 and TLC5510A are CMOS, 8-bit, 20MSPS analog-to-digital converters (ADCs) thatutilize
2008-06-27 11:13:57204
tlc5510中文资料pdf
TLC5510是CMOS、8位、20MSPS模拟棗数字转换器(ADC) ,它利用了半闪速结构(semiflasharchitecture) 。TLC5510用单5V电源工作且只消耗100mW(典型值)的功率。它还包含有内部采样和保持电
2008-06-27 11:15:56482
8位高速AD转换器TLC5510的应用
TLC5510是美国德州仪器公司生产的8位半闪速结构模数转换器,它采用CMOS工艺制造,可提供最小20Msps的采样率.可广泛用于数字TV、医学图像、视频会议、高速数据转换以及QAM解调器等方面
2009-04-22 15:23:3464
实现TLC5510模数转换器与TMS320C203 DSP的
Analog to Digital Converter to the TMS320C203 DSP (literature number SLAA029) thatpresents guidelines for interfacing the TI TLC5510 analog-
2009-06-12 14:26:1855
闪速8 AD转换器TLC5510与单片微机的接口技术
TLC5510 是美国德州仪器公司生产的8位闪速结构模数转换器,采用CMOS 工艺制造,采样速率高达20MSPS。广泛用于数字TV、医学图像、视频会议、高速数据转换以及QAM解调器等
2010-01-25 14:49:2681
TLC5540/TLC5510/TLC5510A EVM
This user’s guide serves as a reference book for the TLC5540/TLC5510/TLC5510A. This document
2010-06-02 09:24:348
TLC5510A/TLC5510 (8-Bit High-S
The TLC5510 and TLC5510A are CMOS, 8-bit, 20 MSPS analog-to-digital converters (ADCs) that utilize
2010-06-02 09:28:4518
Interfacing the TLC5510 Analog
Analog to Digital Converter to the TMS320C203 DSP (literature number SLAA029) thatpresents guidelines for interfacing the TI TLC5510 a
2010-06-02 09:42:007
TLC5510 analog to digital conv
;) TLC5510 8-bit parallel-output analog-to-digital converter (ADC) to the TI TMS320C203 DSP data bus. The 8-bit ADC operates at a rate of 20 MHz. T
2010-06-02 09:54:1811
基于TLC5510的数据采集系统设计
介绍了美国德州仪器公司A/D转换器TLC5510的主要特点及典型应用电路,对于频率较高的电信号,提供基于FPGA控制的等效采样技术,使得TLC5510能对高频周期信号采样。
2010-12-16 15:32:0982
ADC0809 VHDL控制程序
ADC0809 VHDL控制程序--文件名:ADC0809.vhd--功能:基于VHDL语言,实现对ADC0809简单控制--说明:ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟信号,这里由FPGA的
2008-06-27 11:19:282794
LED控制VHDL程序与仿真
LED控制VHDL程序与仿真分别介绍采用FPGA对LED进行静态和动态显示的数字时钟控制程序。1. 例1:FPGA驱动LED静态显示--文件名:decoder.vhd。--功能:译码输出
2008-06-27 11:20:401418
基于CMOS工艺的高阻抗并行A/D芯片TLC5510
1 概述
TLC5510是美国TI公司生产的新型模数转换器件(ADC),它是一种采用CMOS工艺制造的8位高阻抗并行A/D芯片,能提供的最小采样率为20MSPS。由于TLC5510采用了半闪速
2010-09-03 10:16:031138
无线传输的传感系统中控制程序的设计方法
无线传输的传感系统中控制程序的设计方法摘 要:分析了无线传输的传感系统的控制程序,讨论了通讯协议,接口电路及接口软件的设计,指出了其设计的技术要点。 关键词:无线传输;传感系统;软件
2011-01-30 12:51:2029
TLC5510 高速模数转换器手册
TLC5510是CMOS、8位、20MSPS模拟棗数字转换器(ADC) ,它利用了半闪速结构(semiflash architecture) 。TLC5510用单5V电源工作且只消耗100mW(典型值)的功率。它还包含有内部采样和保持电路,
2011-04-04 16:06:17123
基于VHDL语言对高速A/D器件TLC5510控制的实现
--TLC5510 VHDL 控制程序 --文件名:TLC5510.vhd --功能:基于VHDL语言,实现对高速A/D器件TLC5510控制 --最后修改日期:2004.3.20 library
2012-05-22 10:02:281322
tlc5510芯片数据手册
The TLC5510 and TLC5510A are CMOS, 8-bit, 20 MSPS analog-to-digital converters (ADCs) that utilize
2016-10-12 14:57:5436
TLC5510数据采集系统设计方案分析
1 TLC5510简介 TLC5510是美国德州仪器(TI)公司的8位半闪速架构A/D转换器,采用CMOS工艺,大大减少比较器数。TLC5510最大可提供20 Ms/s的采样率,可广泛应用于高速数据
2017-10-20 11:31:497
TLC5510并行A/D芯片在线阵CCD数据系统中的应用
TLC5510是美国TI公司生产的新型模数转换器件(ADC),它是一种采用CMOS工艺制造的8位高阻抗并行A/D芯片,能提供的最小采样率为20MSPS。由于TLC5510采用了半闪速结构及CMOS工艺,因而大大减少了器件中比较器的数量,而且在高速转换的同时能够保持较低的功耗。
2018-12-31 08:50:002963
TLC5510和TLC5510A 8位高速模数转换器的详细
TLC5510和TLC5510A是CMOS,8位,20MSPS模数转换器(ADC),它们采用半闪存结构。TLC55 10和TLC55 10A用单个5伏电源工作,通常只消耗130兆瓦的功率。包括内部采样保持电路、具有高阻抗模式的并行输出和内部参考电阻器。
2018-09-19 16:54:2728
TLC5510应用8位半闪速结构模数转换器的介绍和使用手册免费下载
TLC5510是美国德州仪器(TI)公司生产的8位半闪速结构模数转换器,它采用 CMOS工艺制造,可提供最小20Msps 的采样率。可广泛用于数字TV、医学图像、视频会议、高速数据转换以及QAM
2018-10-18 08:00:0011
8位半闪速架构A/D转换器TLC5510的性能特点和典型应用电路研究
TLC5510最大可提供20 Ms/s的采样率,可广泛应用于高速数据转换、数字TV、医学图像、视频会议以及QAM解调器等领域。TLC5510的工作电源为5 V,功耗为100 mW(典型值)。内置采样
2020-07-31 15:38:033176
51单片机ADC控制程序
51单片机ADC控制程序(肇庆理士电源技术有限公司电话)-该文档为51单片机ADC控制程序讲解文档,是一份还算不错的参考文档,感兴趣的可以下载看看,,,,,,,,,,,,,,,,,
2021-09-27 16:05:5929
评论
查看更多