电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>处理器/DSP>智原科技采用Cadence数字实现与验证解决方案,提升最大型SoC设计的性能

智原科技采用Cadence数字实现与验证解决方案,提升最大型SoC设计的性能

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

Cadence首个DDR4 Design IP解决方案在28纳米级芯片上得到验证

Cadence宣布业内首个DDR4 Design IP解决方案在28纳米级芯片上得到验证
2012-09-10 09:53:241403

Incisive 12.2版本融入Cadence验证IP,SoC验证效率提高50%

Cadence设计系统公司公布一个新版的尖端功能验证平台与方法学,拥有全套最新增强功能,与之前发布的版本相比,可将SoC验证效率提高一倍。 Incisive ®12.2提供了两倍性能,全新Incisive调试分析器产品,全新低功耗建模,以及当今复杂IP与SoC高效验证所需的数百种其他功能。
2013-01-27 10:44:381437

Cadence Incisive Enterprise Simulator将低功耗验证效率提升30%

全球电子设计创新领先企业Cadence设计系统公司(NASDAQ: CDNS),近日推出新版本Incisive Enterprise Simulator,该版本将复杂SoC的低功耗验证效率提高了30
2013-05-14 10:31:401832

Cadence Innovus助力Realtek成功开发DTV SoC解决方案

楷登电子今日宣布,瑞昱半导体股份有限公司将 Cadence® Innovus™ 设计实现系统用于其最新 28nm 数字电视(DTV)系统级芯片的研发并成功流片,同时成功缩小了芯片面积并降低了功耗
2018-05-07 13:11:284035

Cadence PCB设计解决方案

CADENCE PCB设计解决方案能为解决与实现高难度的与制造密切相关的设计提供完整的设计环境,该设计解决方案集成了从设计构想至最终产品所需要的一切设计流程,
2011-12-15 14:14:322074

5G时代的挑战,毫米波解决方案的测试和验证设计

对5G毫米波系统的研发,原型机,验证性能的测试解决方案;。系统的架构高度模块化,可支持不同的基带调制解调器SoC(片上系统)和调制解调器解决方案。另外设备所特有的对RF前端(Massive MIMO
2018-07-23 10:51:32

CADENCE PCB设计解决方案提供完整的设计环境

,以及至关重要的高速信号,同时,他们还要确保最终的PCB满足传统制造以及测试规格所能达到的性能目标。  CADENCE PCB设计解决方案能为解决与实现高难度的与制造密切相关的设计提供完整的设计环境,该
2018-08-30 10:49:16

Cadence 凭借突破性的 Integrity 3D-IC 平台加速系统创新

和静态时序分析功能实现系统驱动的功耗,性能和芯片面积(PPA,Power, Performance and Area)要求。Cadence 的第三代 三位3D-IC 解决方案支持广泛的应用领域,包括
2021-10-14 11:19:57

Cadence/OrCAD PSpice 16.6新功能

cadence公司于2012年9月25日发布了具有一系列新功能的Cadence® OrCAD® 16.6 PCB设计解决方案,用户定制功能增强,模拟性能提高20
2012-12-18 10:18:07

Cadence发布推动SiP IC设计主流化的EDA产品

:“我们选择Cadence作为我们RFSiP技术的合作伙伴,因为Cadence有相应的技术和能力,能够和我们共同制定一套在FREESCALE能被广泛采用解决方案,从而显著提升我们的RFSiP技术
2008-06-27 10:24:12

SoC FPGA的电机控制IP模块和经过验证参考设计

与FPGA架构相集成,可以实现更大的设计灵活性和更快的上市时间。美高森美为电机控制算法开发提供了具有多个多轴电机控制参考设计和IP的生态系统,使由多处理器解决方案转向单一器件解决方案(即SoC FPGA)更加容易。
2019-06-24 07:29:33

SoC验证平台的FPGA综合怎么实现

SoC芯片的规模一般远大于普通的ASIC,同时深亚微米工艺带来的设计困难等使得SoC设计的复杂度大大提高。仿真与验证SoC设计流程中最复杂、最耗时的环节,约占整个芯片开发周期的50%~80%,采用
2019-10-11 07:07:07

SoC常见问题以及可行的解决方案

SoC内ADC子系统集成验证挑战
2021-04-02 06:03:24

SoC设计与验证整合

由于片上系统(SoC)设计变得越来越复杂,验证面临着巨大的挑战。大型团队不断利用更多资源来寻求最高效的方法,从而将新的方法学与验证整合在一起,并最终将设计与验证整合在一起。虽然我们知道实现验证计划
2019-07-11 07:35:58

实现互联世界的创新RF解决方案

客户提供此系统,从而成为首家部署老年人智能家居解决方案大型健康保险公司。凭借采用了全住宅传感器系统的电子健康技术,健康保险公司和用户能够降低医疗保健成本,同时提高生活质量。据 Grand View
2020-11-02 08:43:58

数字性能负载应用的智能全集成数字电源解决方案

解决方案。其中,EM1130内部采用数字内核实现控制环路,可以满足极为严苛的瞬态要求,实现极低的纹波电压(5mV峰峰值),以及在输出电压范围(0.6V~1.5V)实现极高的精确稳压±0.5%。同时可以支持
2018-11-30 17:05:26

采用ARM和DSP的高性能驱动方案

近年来变频控制因其节能、静音及低颤动而得到广泛的关注和应用,基于ARM/DSP 的高性能驱动方案为中大功率三相电机提供了高性能、多控制方式的解决方案,其主要应用于对电机控制的性能、实时性方面要求比较
2019-07-09 08:24:02

采用FPGA方案进行数字显示系统设计有什么特性?

SoC面临的挑战是什么采用FPGA方案进行数字显示系统设计有什么特性?
2021-04-29 06:24:26

采用GDDR6的高性能内存解决方案

随着网络和数据中心带宽需求的日益提升,针对高性能内存解决方案的需求也是水涨船高。对于超过 400 Gbps 的系统开发,以经济高效的方式实现内存方案性能和效率已经成为项目中的重要挑战之一。
2020-12-03 07:14:31

采用MSP430F6736器件用于单片测量的单相智能电表解决方案

描述此设计采用 MSP430F6736 器件,实现了高度集成的单芯片电量计量(智能电表)解决方案。提供了硬件和软件设计文件,以便计算单相电能计量的各种参数,例如 RMS 电流和电压、有功和无功功率
2018-08-23 14:22:38

采用TI PurePath的音频解决方案

`采用TI PurePath的音频解决方案TI’s latest device in the family of PurePath? audio products is a wireless
2016-03-17 13:56:57

FPGA实现ARM系统处理的解决方案解析

和ASIC中实现的硬核IP等。图1即使如此,通用嵌入式系统也很难满足现代设计需求。多芯片解决方案实现起来相对容易一些,但是成本高,缺乏设计人员所要求的灵活性以及性能/功耗指标。采用了软核处理器的单芯片
2021-07-14 08:00:00

RK3399智能数字班牌解决方案

产品落地。 方案简介 盈鹏飞智能数字班牌解决方案采用性能、高稳定性的开源行业主板,丰富的外部扩展接口,适配市面上全尺寸的显示屏/触摸屏,增加产品的可能性,让产品更灵活地适应市场的需求变化。支持运行
2018-06-13 18:39:23

Voltus-Fi定制型电源完整性解决方案

Cadence数字和签收部门(DSG)资深副总裁Anirudh Devgan表示:“使用Voltus-Fi定制型电源完整性解决方案,我们的客户在Virtuoso环境下能实现从模拟IP模块到嵌入式
2018-09-30 16:11:32

manpower代招 深圳 arm soc (AP方向)架构,实现,PR专家

;至少经历过两个以上完整地芯片开发流程中的设计工作; 5、熟悉ARM体系架构,具备SOC设计实现经验优先 6、掌握数字电路结构的功能和特性,有较强的理论分析和钻研能力 7、有良好的团队精神,较强的沟通能力
2013-05-27 16:05:22

什么是数字医院整体解决方案

新慧物联数字医院整体解决方案通过应用移动计算、智能识别、数据融合、云计算、物联网等先进技术对医院临床业务和医院管理两个核心的应用进行数字化、交互式、智慧化管理,最终帮助医院提升管理水平和病人
2019-09-16 06:38:09

传感器性能如何支持状态监控的解决方案分享

传感器性能如何支持状态监控解决方案
2021-01-28 06:51:45

分享一款不错的高性能音频解决方案

分享一款不错的高性能音频解决方案
2021-06-08 06:18:44

分享一种高性能的FM内置天线解决方案

分享一种高性能的FM内置天线解决方案
2021-05-26 06:18:53

基于FPGA单芯片实现ARM系统设计解决方案

和ASIC中实现的硬核IP等。图1即使如此,通用嵌入式系统也很难满足现代设计需求。多芯片解决方案实现起来相对容易一些,但是成本高,缺乏设计人员所要求的灵活性以及性能/功耗指标。采用了软核处理器的单芯片
2021-07-12 08:00:00

如何实现性能的射频测试解决方案

如何实现性能的射频测试解决方案NI软硬件的关键作用是什么
2021-05-06 07:24:55

如何提升EMC性能

两个方面的性能,即电磁发射 / 干扰 EME 和电磁抗扰 EMS。EME 中包含传导和辐射;而 EMS 中又包含静电、脉冲群、浪涌等。为提升用户系统稳定性,接下来我们将为大家讲述如何灵活应用以上方法优化电源 EMC,本文将从电源的设计与应用等角度介绍 4 种常用解决方案
2020-10-29 07:07:03

如何设计和验证SoC

MentorGraphics委托的2012年功能验证调查,逻辑和数据路径的门数在持续增加这些数字相当值得深思,很显然,仅在基于软件的模拟器上验证设计时,速度会慢下来。尽管包括Mentor在内的大型EDA
2017-04-05 14:17:46

小型蜂窝基站的实现

应用之前,还需要消除功耗、性能以及成本阻碍。基站制造商倾向于重点关注小型蜂窝基带片上系统(SoC)的性能特点。基带SoC软硬件确实能对小型蜂窝解决方案性能产生重大影响,但设计的数字无线电前端部分也同样
2019-06-19 06:14:09

怎样用C语言去启动SOC验证环境呢

chip_agt 接管CPU出来的总线。总而言之做法就是通过UVM去接管系统的总线。这样我们可以bypass boot的过程,并且还可以实现IP的验证环境在SOC验证环境中复用。如果我们对C代码进行一些封装
2022-06-17 14:41:50

求AMD R系列与AMD SOC系列嵌入式高效能解决方案

寻求AMD R系列与AMD SOC系列嵌入式高效能解决方案
2021-05-10 06:05:01

求一款Cadence的高级可制造性设计解决方案

求一款Cadence的高级可制造性设计解决方案
2021-04-26 06:25:07

汽车无线外反光镜控制电动侧视镜概念验证解决方案

描述本汽车无线外反光镜控制参考设计展示了一套面向汽车电动侧视镜的概念验证解决方案,在这套解决方案中,电动侧视镜可以无线方式与控制开关通信。本参考设计弃用了厚重而昂贵的电缆束,转而采用 TI 的汽车级
2018-11-19 11:46:02

用于SoC和μP应用的高效率20A单片静音开关稳压器

SoC的20 V输入解决方案该LTC7150S提出了用于工业和汽车电源,高性能的吧。它具有高效率,小外形和低EMI。集成的高性能MOSFET和热管理功能可在高达20V的输入电压下实现高达20A的电流可靠
2019-03-09 11:46:55

用于SoC和μP应用的高效率20A单片静音开关稳压器

。20用于SoC的20 V输入解决方案该LTC7150S提出了用于工业和汽车电源,高性能的吧。它具有高效率,小外形和低EMI。集成的高性能MOSFET和热管理功能可在高达20V的输入电压下实现高达20A
2018-09-13 14:28:48

用于SoC的20V输入的20A解决方案

的DDR3和LPDDR4,以及5V,3.3V和1.8V的外设和辅助组件。此外,先进的SoC需要比传统PWM控制器和MOSFET所能提供的更高的性能。因此,必要的解决方案必须更紧凑,具有更高的电流能力,更高
2018-12-26 09:17:59

给Altera Arria 10 FPGA和Arria 10 SoC供电:经过测试和验证的电源管理解决方案

:Arria 10 SoC 开发套件板针对内核、系统和 I/O 的电源管理谨慎地选择高端 FPGA (包括 Arria 10) 的电源管理解决方案应谨慎地选择。经过审慎考虑的电源管理设计可缩减 PCB
2018-10-29 17:01:56

设计理念 # 1: 用于SoC和μP应用的高效率20A单片静音开关稳压器

。20用于SoC的20 V输入解决方案该LTC7150S提出了用于工业和汽车电源,高性能的吧。它具有高效率,小外形和低EMI。集成的高性能MOSFET和热管理功能可在高达20V的输入电压下实现高达20A
2018-09-25 09:34:50

SoC设计中采用ESL设计和验证方法

ESL 设计和验证方法使设计工程师能够专注于那些给产品及IP 带来差异化和价值的系统设计属性,即功能性和性能。本文讨论电子系统级(ESL)设计和验证方法学在系统级芯片(SoC)设
2009-11-30 16:15:1533

Cadence生物指纹安全解决方案为UPEK整合芯片设计流程

    2009年3月4日,Cadence设计系统公司今天宣布生物指纹安全解决方案领先厂商UPEK®, Inc.已经整合其设计流程,并选择Cadence®作为其全芯片数字、模拟与混合信号设计的
2009-03-05 12:14:18519

Cadence推出首个TLM驱动式设计与验证解决方案

Cadence推出首个TLM驱动式设计与验证解决方案 Cadence设计系统公司今天推出首个TLM驱动式协同设计与验证解决方案和方法学,使SoC设计师们可以尽享事务级建模(TLM)的好处。
2009-08-07 07:32:00674

Cadence推出首个TLM驱动式设计与验证解决方案提升基于

Cadence推出首个TLM驱动式设计与验证解决方案提升基于RTL流程的开发效率 Cadence设计系统公司推出首个TLM驱动式协同设计与验证解决方案和方法学,使SoC设计师们可以尽
2009-08-11 09:12:18499

中芯国际采用Cadence DFM解决方案用于65和45纳米

中芯国际采用Cadence DFM解决方案用于65和45纳米 IP/库开发和全芯片生产 Cadence 模型化的 Litho Physical 和 Litho Electrical
2009-10-19 17:48:11461

中芯国际采用 Cadence DFM 解决方案用于65和45

Cadence 模型化的 Litho Physical 和 Litho Electrical AnalyzerLitho Physical 与 Litho Electrical Analyzer 解决方案提供了快速、精确硅认证的全芯片电气 DFM 验证流程
2009-10-20 09:54:02990

Cadence为PCI Express 3.0推出首款验证

Cadence为PCI Express 3.0推出首款验证解决方案 Cadence设计系统公司宣布其已经开发了基于开放验证方法学(OVM)的验证IP(VIP)帮助开发者应用最新的PCI Express Base Specification
2009-11-04 16:59:591142

Magma推出面向大型SoC的增强版层次化设计规划解决方案

Magma推出面向大型SoC的增强版层次化设计规划解决方案 微捷码(Magma)日前发布了面向大型片上系统(SoC)的增强版层次化设计规划解决方案Hydra 1.1。新版产品提供了通道
2009-12-09 08:31:53888

TI推出最新多核SoC架构,实现5倍性能提升

TI推出最新多核SoC架构,实现5倍性能提升 日前,德州仪器(TI)宣布推出一款基于 TI 多核数字信号处理器(DSP)的新型片上系统(SoC)架构,该架构在业界性能最高的CPU中同时
2010-02-26 08:38:16562

芯邦采用Cadence Incisive Xtreme II

芯邦采用Cadence Incisive Xtreme III系统提升SoC验证实效  全球电子设计创新领先企业Cadence设计系统公司今天宣布,位于中国深圳的、无晶圆厂集成电路设计领先企业芯邦科
2010-03-02 10:32:47573

CADENCE PCB设计技术方案

CADENCE PCB设计技术方案 CADENCE PCB设计解决方案能为解决与实现高难度的与制造密切相关的设计提供完整的设计环境,该设计解决方案集成了从设计构
2010-04-29 08:53:193756

用于SoC验证的(UVM)开源参考流程使EDA360的SoC

全球电子设计创新领先企业Cadence设计系统公司,今天宣布了业界最全面的用于系统级芯片(SoC验证的通用验证方法学(UVM)开源参考流程。为了配合Cadence EDA360中SoC实现能力的策略,
2010-06-28 08:29:142240

Cadence收购Azuro 提升新一代SoC设计质量

Cadence设计系统公司日前宣布已收购Azuro公司,该公司是引领新一代系统级芯片优化与数字实现转型的先锋企业。Azuro提供独特的时钟同步优化技术,又称为ccopt技术.
2011-07-22 09:15:25575

Cadence助力Denso大幅提升IC设计效率

Cadence 设计系统公司日前宣布,汽车零部件生产商Denso公司在改用了Cadence定制/模拟与数字流程之后,在低功耗混合信号IC设计方面实现了质量与效率的大幅提升。将Cadence Encounter RTL-to-G
2012-09-04 09:31:59811

Cadence最新PCB解决方案:模拟性能提高20%

电子发烧友网核心提示 :全球电子设计创新领先企业Cadence设计系统公司于日前发布了具有一系列新功能的Cadence OrCAD 16.6 PCB设计解决方案,用户定制功能增强,模拟性能提高20%, 使用户
2012-10-16 08:44:251192

TSMC 20纳米的设计架构选择Cadence解决方案

全球电子设计创新领先企业Cadence设计系统公司日前宣布TSMC已选择Cadence解决方案作为其20纳米的设计架构。Cadence解决方案包括Virtuoso定制/模拟以及Encounter RTL-to-Signoff平台。
2012-10-22 16:48:03909

Cadence验证IP为ARM AMBA 4协议大幅缩短验证周转时间

电子设计创新企业Cadence设计系统公司,今天宣布使用ARM AMBA协议类型的Cadence验证IP(VIP)实现多个成功验证项目,这是业界最广泛使用的AMBA协议系列验证解决方案之一。顶尖客户,包括
2012-11-07 08:21:52997

借力Cadence,Avago 28nm网络芯片设计性能提升57%

Cadence设计系统公司日前宣布Avago Technologies在大型28纳米网络芯片设计中使用其EDI系统,大幅度加快设计进度,提高了工程效率。Avago实现1GHz的性能,比之前所用软件设计的芯片提高57%。
2013-02-04 09:17:001150

Cadence解决方案助力创意电子20纳米SoC测试芯片成功流片

光刻物理分析器成功完成20纳米系统级芯片(SoC)测试芯片流片。双方工程师通过紧密合作,运用Cadence解决方案克服实施和可制造性设计(DFM)验证挑战,并最终完成设计。
2013-07-09 15:53:24769

Cadence宣布推出Interconnect Workbench 用于进行基于ARM片上系统的性能分析与验证

Workbench搭配Cadence Interconnect Validator,组成了一套完整的功能验证性能检验解决方案
2013-11-07 09:34:141130

Cadence全新Voltus集成电路解决方案助力IDT实现高达10倍的电源签收速度提升

采用全新的Cadence® Voltus™集成电路电源完整性解决方案,在其旗舰产品上实现了运行时间10倍的性能加速。
2013-11-14 16:45:291300

Cadence Incisive 13.2平台为 SoC 验证性能和生产率设定新标准

全球电子设计创新领先企业Cadence设计系统公司(NASDAQ: CDNS)今天发布了新版 Incisive® 功能验证平台,再一次为整体验证性能和生产率设定新标准。
2014-01-16 17:36:131047

海思扩大采用Cadence Palladium XP平台 用于移动和数字媒体SoC与ASIC开发

全球电子设计创新领先企业Cadence设计系统公司(NASDAQ: CDNS)今天宣布,海思半导体(HiSilicon Semi)进一步扩大采用Cadence® Palladium® XP 验证运算平台作为其仿真方案,运用于移动和数字媒体System-on-Chip (SoC) 与 ASIC开发。
2014-05-13 16:19:032137

联发科高性能Wi-Fi SoC解决方案获D-Link采用

联发科技宣布其高性能Wi-Fi SoC解决方案RT6856 已经被全球无线网通领导品牌D-Link(友讯集团)所采用。D-Link继而推出一系列内置联发科技Wi-Fi SoC解决方案的新一代无线云路由器,巩固市场领先地位。
2014-06-25 16:03:001456

台积电采用Cadence的FinFET单元库特性分析解决方案

全球知名电子设计创新领先公司Cadence设计系统公司 (NASDAQ: CDNS),今日宣布台积电采用Cadence®16纳米FinFET单元库特性分析解决方案
2014-10-08 19:03:221594

Cadence发布大规模并行物理签核解决方案Pegasus验证系统

将助工程师缩短先进节点IC的上市时间。Pegasus™验证系统解决方案是全流程Cadence数字设计与签核套件的新成员,可扩展至数百CPU,设计规则检查(DRC)性能最高可提升10倍,周转时间较上一代Cadence® 解决方案由数日降至数小时。
2017-04-14 15:42:441294

SoC设计的可扩展验证解决方案

为了充分利用系统级芯片(SoC)设计带来的优点,业界需要一种可以扩展的验证解决方案,解决设计周期中各个阶段的问题,缩短验证鸿沟。本文将探讨可扩展验证解决方案为何能够以及如何解决SoC设计目前面临的功能方面的严峻挑战,以达到提高设计生产力、保证设计质量、缩短产品上市时间以及提高投资回报率的目的。
2018-06-04 03:13:00743

Cadence 数字全流程解决方案通过三星5LPE工艺认证

采用极紫外(EUV)光刻技术的Cadence 数字全流程解决方案已通过Samsung Foundry 5nm早期低功耗版(5LPE)工艺认证。
2019-07-11 16:36:473436

联电与智原科技宣布推出22ULP/ULL基础元件IP解决方案 以满足新一代的SoC设计需求

晶圆代工大厂联电与台湾地区知识产权大厂智原科技于18日宣布,推出基于联电22纳米超低功耗(ULP)与22纳米超低漏电(ULL)制程的基础元件IP解决方案。该22ULP/ULL基础元件IP已成功通过
2019-11-19 16:08:113285

芯片功耗和性能验证解决方案的优势

NI 芯片功耗和性能验证解决方案具有高准确度和高通道数,有助于加快产品上市时间,您可以使用专用仪器和基于配置的软件来快速设置、测量、记录和可视化功耗测量数据。
2021-01-18 16:48:371784

探究关于FA526处理器SoC平台的Linux操作系统实现

智原科技的FIE8100 SoC平台是一种低功耗、便携式视频相关应用开发SoC平台,也可用于基于FA526 CPU的SoC设计验证。基于
2021-03-24 14:39:392110

Cadence推出下一代Palladium Z2和Protium X2系统,革命性提升硅前硬件纠错及软件验证速度

Cadence拥有最完整的IP与SoC验证、硬件与软件回归测试及早期软件开发的全系列解决方案
2021-04-06 13:48:532431

Cadence演示面向PCI Express 5.0系统的SoC硅芯片

沿的技术应用到 TSMC 先进 FinFET 工艺上,为市场带来一款极具竞争力的低功耗解决方案,并采用业界最新的测试方案进行测试。 这一 PCIe 系统解决方案Cadence PCIe 5.0
2021-05-14 10:33:551787

Cadence宣布推出Cadence Safety Solution安全方案

Cadence Safety Solution 包括新的 Midas Safety Platform,为模拟和数字流程提供基于 FMEDA 功能安全设计和验证的统一方案 该安全流程方案为汽车、工业
2021-10-26 14:24:344050

Samsung Foundry成功部署全新Cadence解决方案

Liberate Characterization 和 Tempus 解决方案已经通过 Samsung Foundry 老化模型验证,使客户能够快速、安心地完成高可靠性的设计签核 Tempus 解决方案的全新
2021-11-19 11:00:132967

GlobalFoundries在AWS上完成对Cadence数字解决方案的认证

GlobalFoundries 在 AWS 上完成了对 Cadence 数字解决方案的认证,可用于其专有的差异化 22FDX 平台。
2022-03-28 11:17:461812

智原科技发布Soteria!安全子系统

ASIC设计服务暨IP研发销售厂商智原科技(Faraday Technology Corporation,TWSE:3035)今日发表Soteria!安全子系统。该解决方案针对SoC设计提供可靠的硬件信任根(Root of Trust,RoT),从芯片物理层来确保物联网应用产品的信息安全。
2022-06-01 15:47:251138

Cadence推出15种新验证 IP(VIP)解决方案

楷登电子(美国 Cadence 公司,NASDAQ:CDNS)今日宣布推出 15 种新的验证 IP(VIP)解决方案,助力工程师迅速有效地验证设计,以满足最新标准协议的要求。
2022-06-06 11:18:212665

Cadence计算流体力学解决方案助力美洲杯帆船赛性能及整体表现

楷登电子(美国 Cadence 公司,NASDAQ:CDNS)今日宣布,竞逐第 37 届美洲杯帆船赛的三支参赛队伍将借助 Cadence® 计算流体力学(CFD)解决方案提升其赛船的性能及整体表现力。
2022-06-07 11:30:011153

SoC互连的功能和性能验证

  面对持续不断的上市时间压力和日益复杂的 SoC 设计,很难找到不想从设计周期中缩短时间的工程师。特别是在高级节点,验证 SoC 互连已成为一个耗时的步骤。但是,工具现在可以高效且有效地执行周期精确的性能分析和互连验证
2022-06-14 10:12:171692

Cadence推出新一代CXL VIP和系统VIP工具

验证 IP(VIP)和系统级 VIP(系统 VIP),以加速新技术的采用Cadence CXL 3.0 VIP与 Cadence PCI Express(PCIe)6.0 VIP 集成,提供了从 IP 到系统级芯片(SoC)的完整解决方案,助力用户成功设计高性能数据中心应用。
2022-08-10 10:14:501781

芯华章强强联手加速打造系统级数字验证解决方案

这一解决方案实现对千亿门超大规模集成电路的仿真验证,更具备比传统仿真器速度更高的性能,能够3小时完成原本需要7天的仿真验证任务。
2022-09-26 09:25:25539

Cadence新支持台积电的N16RF设计参考流程和制程设计套件

Cadence 射频集成电路解决方案支持 Cadence 智能系统设计(Intelligent System Design)战略,助力实现系统级芯片(SoC)的卓越设计。
2022-11-03 14:18:50835

创意电子采用Cadence数字解决方案完成首款台积电N3制程芯片及首款AI优化的N5制程设计

楷登电子(美国 Cadence 公司,NASDAQ:CDNS)今日宣布,创意电子(GUC)借助 Cadence 数字解决方案成功完成先进的高性能计算 (HPC)设计和 CPU 设计。其中,HPC
2023-02-06 15:02:481048

思尔芯系统级验证原型解决方案助力BLE Audio领域的IP/蓝牙SoC快速设计

思尔芯(S2C)近日宣布,公司的系统级验证原型验证解决方案获得了较为全面的正向市场反馈,成功协助多家设计企业完成低功耗蓝牙音频(BLE Audio)领域的IP/蓝牙SoC定制方案设计。
2023-05-30 15:52:52402

Cadence:以 AI 技术驱动数字验证的变革

Cadence 在面对 SoC 设计验证挑战下的应对之法。 随着 SoC 设计的发展,如何在有限的时间内尽可能发现更多的 bug 和实现更多的溯源分析,让项目各方面的投资都做到物尽其用,这是验证工作所面临
2023-06-07 00:20:03466

DB GlobalChip有效运用Cadence的Spectre FX和AMS Designer,将IP验证速度加快2倍

和混合信号 IP,与现有流程相比,在达到所需精度的同时,可帮助提升 2 倍性能Cadence 的这款解决方案助力 DB GlobalChip 加速了 IP 开发和验证周期,可以更快将产品推向市场。 在客户规定的时间内满足模拟和混
2023-06-25 12:25:02512

移动SoC的时钟验证

移动电话技术的进步不断挑战极限,要求SoC在提供不断提升性能的同时,还能保持较长的电池续航时间。为了满足这些需求,业界正在逐步采用更低的技术节点,目前的设计都是在5纳米或更低的工艺下完成的。在这
2023-07-17 10:12:18433

Cadence 与 Arm Total Design 合作,加速开发基于 Arm 的定制 SoC

双方的共同客户可获取 Cadence 的全流程系统级设计验证实现解决方案以及接口 IP,依托 Neoverse CSS 加速开发基于 Arm 的定制 SoC 中国上海,2023 年 10 月 25
2023-10-25 10:40:02197

Cadence 与 Broadcom 合作部署 AI 驱动解决方案并获得出色结果

内容提要 ● Broadcom 多个业务部门采用了 AI 驱动的 Cadence Cerebrus 解决方案,用于在先进节点上设计多款复杂的尖端产品 ● Broadcom 的产品设计在性能、功率和面
2023-10-26 15:35:01175

Cadence 签核解决方案助力 Samsung Foundry 的 5G 网络 SoC 设计取得新突破

设计签核,并取得了更好的 PPA 结果 2 首次部署 Cadence 签核解决方案后,Samsung Foundry 实现了两倍的生产力提升,加速了设计收敛 中国上海,2023 年 12
2023-12-04 10:15:01243

已全部加载完成