0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>嵌入式技术>编程语言及工具>

编程语言及工具

电子发烧友网编程语言及工具栏目提供嵌入式设计所需的嵌入式编程语言技术和开发工具以及最新设计相关内容,是嵌入式工程师喜欢的网站。
什么是DFX技术?DFX设计一定要执行设计规则检查吗?

什么是DFX技术?DFX设计一定要执行设计规则检查吗?

DFX(Dynamic Function eXchange)的前身是PR(部分可重配置,Partial Reconfiguration)。...

2023-09-21 标签:DCPdfx设计fpgaRTLVHDL语言 2028

如何在开始码代码的时候就考虑时序收敛的问题?

如何在开始码代码的时候就考虑时序收敛的问题?

硬件描述语言(verilog,systemVerilog,VHDL等)不同于软件语言(C,C++等)的一点就是,代码对应于硬件实现,不同的代码风格影响硬件的实现效果。...

2023-09-21 标签:LUTVerilog语言寄存器时序收敛计数器 504

如何在嵌入式C语言中使用结构?

如何在嵌入式C语言中使用结构?

本文将首先解释内存访问粒度概念,以便可以了解处理器如何访问内存。然后,将进一步了解数据对齐的概念,并研究一些示例结构的内存布局。...

2023-09-20 标签:C语言处理器存储器嵌入式系统计算机 166

mysql分页问题和优化的思路是什么

mysql分页问题和优化的思路是什么

server层会调用innodb的接口,在innodb里的非主键索引中获取到第0条数据对应的主键id后,回表到主键索引中找到对应的完整行数据,然后返回给server层,server层将其放到结果集中,返回给客户端。...

2023-09-20 标签:MySQLServer数据库 118

嵌入式C语言中的结构是什么?

嵌入式C语言中的结构是什么?

在介绍结构之后,将看一下这个强大数据对象的一些重要应用。然后将检查C语言语法以声明结构。最后将简要介绍数据对齐要求。可以通过简单地重新排列其成员的顺序来减小结构的大小。...

2023-09-20 标签:ADC采样C语言传感器存储器嵌入式系统 190

Mojo v3 FPGA板与16x2 LCD模块是如何进行连接的呢?

Mojo v3 FPGA板与16x2 LCD模块是如何进行连接的呢?

在本教程中,我们将使用Verilog HDL设计一个数字电路,该电路与基于HD44780 LCD控制器/驱动芯片的通用LCD模块连接。Mojo V3 FPGA板将用于实现设计。本文中使用的LCD模块是1602A显示器。...

2023-09-20 标签:FPGA设计HDL语言LCD控制器多路复用器触发器 423

怎样使用Verilator进行Verilog Lint呢?

FPGA设计是无情的,所以我们需要利用能获得的任何软件进行检查...

2023-09-20 标签:FPGA设计macOS系统SDL仿真器静态分析 705

为什么重采样很重要?Pandas中重新采样的关键问题解析

为什么重采样很重要?Pandas中重新采样的关键问题解析

重采样是时间序列分析中处理时序数据的一项基本技术。它是关于将时间序列数据从一个频率转换到另一个频率,它可以更改数据的时间间隔,通过上采样增加粒度,或通过下采样减少粒度。...

2023-09-19 标签:python数据处理物联网转换器重采样 1018

如何在Rust中高效地操作文件

Rust语言是一种系统级、高性能的编程语言,其设计目标是确保安全和并发性。 Rust语言以C和C++为基础,但是对于安全性和并发性做出了很大的改进。 在Rust语言中,操作文件是非常重要的一个功...

2023-09-19 标签:C++Rustrust语言函数编程语言 1004

嵌入式C编程语言中的联合数据对象

嵌入式C编程语言中的联合数据对象

我们讨论了嵌入式C中的结构允许我们对不同数据类型的变量进行分组,并将它们作为单个数据对象处理。...

2023-09-19 标签:C语言存储器嵌入式系统接收器 95

在QEMU/aarch64上完成smart用户态应用的运行并使用VSCode进行调试

在QEMU/aarch64上完成smart用户态应用的运行并使用VSCode进行调试

这里注意如果是linux平台下需要先安装解压缩工具,下面为7zip的下载方式...

2023-09-18 标签:C++语言gdb调试器LINUX内核RTThreadUbuntu系统 721

如何使用Verilog硬件描述语言描述时序逻辑电路?

如何使用Verilog硬件描述语言描述时序逻辑电路?

时序逻辑电路的特点是输出信号不仅与电路的输入有关,还与电路原来的状态有关。...

2023-09-17 标签:CLKD触发器FPGA设计反相器时序逻辑电路 1184

为什么说Vivado是基于IP的设计?

为什么说Vivado是基于IP的设计?

Vivado是Xilinx公司2012年推出的新一代集成开发环境,它强调系统级的设计思想及以IP为核心的设计理念,突出IP核在数字系统设计中的作用。...

2023-09-17 标签:C语言FPGA设计RTLVivado寄存器 924

看看两个使用Verilog HDL设计的简单电路

看看两个使用Verilog HDL设计的简单电路

与非门的Verilog 描述如下图所示,源程序文件的后缀为.v。...

2023-09-17 标签:CLKD触发器ModuleVerilog语言时序逻辑电路 649

free在释放内存的时候,为什么不需要指定内存的大小?

free在释放内存的时候,为什么不需要指定内存的大小?

malloc在申请内存的时候,需要指定内存的大小,申请成功则返回这块内存的地址,但是free的时候,只需要指定释放的内存的起始地址,系统就知道从这个地址开始需要释放多少个字节。...

2023-09-15 标签:C语言 761

什么是逻辑综合?逻辑综合的流程有哪些?

什么是逻辑综合?逻辑综合的流程有哪些?

逻辑综合是将RTL描述的电路转换成门级描述的电路,将HDL语言描述的电路转换为性能、面积和时序等因素约束下的门级电路网表。...

2023-09-15 标签:DFT算法HDL语言RTLSDC寄存器 1363

​ESP32-S3 ADC外设单次触发模式

​ESP32-S3 ADC外设单次触发模式

这个宏通常用于在条件为假时进行错误处理或跳转到特定的代码块。...

2023-09-15 标签:adcADCC语言ESP分配器触发器 750

Formal Verify形式验证的流程概述

Formal Verify形式验证的流程概述

Formal Verify,即形式验证,主要思想是通过使用数学证明的方式来验证一个修改后的设计和它原始的设计,在功能上是否等价。...

2023-09-15 标签:DFT设计HDL语言RTLSPEC形式验证 371

什么是FPGA?FPGA现场可编程门阵列的综合指南

什么是FPGA?FPGA现场可编程门阵列的综合指南

现场可编程门阵列 (FPGA) 是可以在制造后进行编程和重新编程以实现数字逻辑功能的半导体器件。...

2023-09-14 标签:FPGA器件VHDL语言数字信号处理器触发器锁相环 568

vscode+pyocd+daplink调试瑞萨ra6m3步骤

拿到了瑞萨的ra6m3的板子,发现使用RT-Thread Stduio下载速度很慢,就想着搭建一个vscode的环境试试。...

2023-09-14 标签:gcc编译器gdb调试器pythonRT-ThreadRTOS 510

怎样使用Python计算曲线的切点?

怎样使用Python计算曲线的切点?

曲线是数学中的一个基本概念,它可以定义为一种平滑的、连续的、无限延展的函数图像。...

2023-09-13 标签:python计算器 309

图像锐化的Sobel、Laplacian算子基础知识介绍

图像锐化的Sobel、Laplacian算子基础知识介绍

Sobel 算子是一种用于边缘检测的离散微分算子,它结合了高斯平滑和微分求导...

2023-09-13 标签:OpenCVpython拉普拉斯滤波器边缘检测 598

如何用Python实现Vivado和ModelSim仿真自动化?

如何用Python实现Vivado和ModelSim仿真自动化?

我们在Windows系统下使用Vivado的默认设置调用第三方仿真器比如ModelSim进行仿真时,一开始仿真软件都会默认在波形界面中加载testbench顶层的信号波形...

2023-09-13 标签:ModelSimMODELSIM仿真pythonTCLVivado二进制仿真仿真器 637

请问如何将C语言算法移植到FPGA上?

确定算法:首先,你需要确保要移植的C语言算法是合适的。FPGA适合并行计算和高度可定制的应用。因此,你需要选择一个适合FPGA实现的算法。...

2023-09-12 标签:C语言FPGA芯片FPGA设计VHDL语言Vivado 688

适合嵌入式设备开发的编程语言—Rust语言

适合嵌入式设备开发的编程语言—Rust语言

Rust语言是二十一世纪的语言新星。Rust被人广泛承认的一点,就是因为它能运行在多样的目标上,从桌面和服务器设备,到资源有限的嵌入式设备。...

2023-09-12 标签:C语言rust语言嵌入式设备编程语言裸机 633

什么是someip?如何发挥通信中间件的服务化作用呢?

什么是someip?如何发挥通信中间件的服务化作用呢?

2011年,宝马提出和设计了Someip,SOME/IP全称Scalable service-Oriented Middleware over IP,即基于IP的可扩展面向服务的中间件。...

2023-09-12 标签:C++语言CAN通信python以太网控制器控制器 2199

C语言—二维数组介绍

C语言—二维数组介绍

定义一个两行三列的二维数组,总共有6个元素...

2023-09-11 标签:C语言 412

怎样使用SpinalHDL Pipeline组件里的resulting及overloaded?

怎样使用SpinalHDL Pipeline组件里的resulting及overloaded?

关于stageableToData,在之前的文章中已有介绍,今天来看下stageableOverloadedToData以及stageableResultingToData的作用。...

2023-09-11 标签:cache技术HDL语言Pipeline处理器驱动器 571

free命令解惑及IC搬砖常用命令组合的使用方法

日常工作中,我们常使用"free -m"查看内存使用情况。...

2023-09-11 标签:svn缓存器 302

为什么Ctrl-C会导致当前运行程序退出呢?

为什么Ctrl-C会导致当前运行程序退出呢?

下面是用rust写的一段测试程序,逻辑非常简单,就是读取用户输入,然后将其输出。...

2023-09-08 标签:LINUX内核rust语言Shell模拟器触发器 932

编辑推荐厂商产品技术软件/工具OS/语言教程专题