电子发烧友App

硬声App

0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>嵌入式技术>嵌入式设计应用>modelsim仿真没有波形或看不到波形的原因及解决方法

modelsim仿真没有波形或看不到波形的原因及解决方法

12下一页全文

本文导航

收藏

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

评论

查看更多

相关推荐

FPGA开发:modelsim仿真流程及波形

对于FPGA开发而言,仿真是开发流程中必不可少的一步,也是非常重要的一步,仿真是将RTL代码模拟运行,得到module中信号波形,再进行功能分析的过程。强大的功能与速度兼具的modelsim仿真就是
2020-09-30 13:52:338686

如何用Python实现Vivado和ModelSim仿真自动化?

我们在Windows系统下使用Vivado的默认设置调用第三方仿真器比如ModelSim进行仿真时,一开始仿真软件都会默认在波形界面中加载testbench顶层的信号波形
2023-09-13 09:23:49712

Modelsim仿真.do文件的编写方法

首先建立一个Modelsim工程,按照仿真流程进行一次仿真。如下图所示找到命令窗口,查看命令窗口中的数据。命令窗口的数据如下,找到起关键作用的命令# reading D
2013-07-12 17:56:41

Modelsim仿真模拟波形问题,数值有差距但在波形上显示如同一条直线,如何解决?

Modelsim仿真模拟波形问题:数值的改变有一定差距,但是在波形上幅度差距变化很小,不知如何修改。ROM中存储为浮点数,其输出仿真时改成小数显示,是显示的问题么?如图所示 ROM_q为输出,幅度差距有50 ,但显示像一条直线一样,如何修改?感谢感谢
2020-02-28 19:51:06

modelsim仿真

我在用quartus调用modelsim仿真波形时出现波形窗口,但没有信号名也没有波形,求高手给指点下
2013-08-27 11:12:03

modelsim仿真$display的打印结果没有显示

modelsim仿真时,$display的打印结果没有显示,但是波形正常显示。
2019-10-16 21:45:57

modelsim仿真microblaze的疑问?

最近被这个弄得头疼,在EDK中建立microblaze工程,然后在SDK中编写了C语言的驱动,编译生成elf文件,前面的都没有问题。可是到了仿真,问题来了,错误倒是没有,可是仿真的结果完全看不到C
2015-04-20 12:02:09

modelsim仿真不出波形

刚着手FPGA学习,用quartus13.0和modelsim10.1c版本,源代码如下:编的textbeach如下:quartus的设置如下:用modelsim10.1c仿真时得不到波形,如下图:请大家帮忙解决下,谢谢大家了!!!
2016-04-13 17:50:42

modelsim仿真只有输入没有输出波形

初学者仿真altera一个很简单的分频,不知道为啥只有输入波形没有输出的波形,fout输出信号就是一条红线,求指点~~tb文件和原文件如下`timescale 1 ns/ 1 nsmodule
2019-03-12 15:26:25

modelsim中无法仿真出正弦波波形

modelsim中总是无法仿真出正弦波波形,是不是哪里设置出来问题。
2015-07-25 23:57:34

modelsim不到testbench中例化的unit

在看小梅哥的教程,请教一下大家,我直接用modelsim-altera跑仿真的时候,modelsim会显示error说找不到key_model这个unit,可是这个文件我已经在quartus的files目录里添加了,不知大家有什么解决方法没有
2016-06-13 09:25:26

仿真软件正常运行,为什么单步时却看不到程序代码!!

如题,用Keil编写的程序,在Protues下仿真时运行结果是正常的,为什么单步运行时却看不到程序???
2013-03-02 14:14:37

没有reset信号的计数器,在rtl仿真时如何确定初始值?

一个简单的计数器代码,如下,因为没有采用reset输入信号进行复位,所以在rtl仿真时,无法确定cnt的初始值(仿真图上可以看到红色波形),请教大家有没解决方法?工程为quartusII 13.1+modelsim. verilog代码,testbench代码和工程文件如下
2022-02-04 11:19:09

没有积分怎么办?登录了也看不到附件怎么办?

没有积分怎么办?登录了也看不到附件怎么办?
2011-12-31 13:06:03

波形图表连续刷新时如何长时间放大

本帖最后由 hejin515 于 2016-6-29 20:35 编辑 请教一个问题:程序在运行时想放大波形图表的曲线(多路数据),但是因为频率太快,刚放大立马又刷新了,根本看不到放大的效果
2016-06-29 19:40:25

Altium designer14.3.15原理图库里面没有图片,看不到图片的管脚

原理图库里面没有图片,看不到图片的管脚求大神帮忙看看是什么问题,谢谢!原理图库里面没有图片,看不到图片的管脚
2014-10-22 00:25:54

CIS Exploror里面看不到元件

`用了官方的样例库看不到,照着视频配置了一下库也看不到元件用CIS搜到元件添加到库中之后也看不到版本是16.6`
2013-04-02 12:32:24

DFM软件设计图正常显示,仿真看不到,一片白色

DFM软件设计图正常显示,仿真看不到,一片白色
2020-11-06 08:46:34

FPGA纯小白求问一个基础问题

我在Quartus ii13.0里面用verilogHDL写了几行代码,然后开TestBench给了激励,但是最后用modelsim仿真的时候却在work里面找不到ywd2_vlg_tst文件,,自然也就看不到波形
2015-11-01 11:41:02

FieldFox N9923A看不到打印选项

我们最近买了一台FieldFox N9923A手持式VNA。问题是当我点击Save / Recall按钮时看不到打印选项。请提出任何可能的原因/解决方案。提前致谢! 以上来自于谷歌翻译 以下为原文
2018-11-05 10:36:10

ISE webpack看不到测试台波形选项

你好,我已经下载了12.4 ISE webpack。我在那个环境中创建了原理图,当我点击项目时 - >新源我看不到测试台波形选项。卸载前一个软件后,我下载了相同的软件两次,但即使在第二次软件
2019-05-28 12:46:55

PROTLE导入DXF后看不到图档是怎么回事?

PROTLE导入DXF后看不到图档是怎么回事?缩到最小看到一点点,稍放一点就看不到了。
2013-06-05 16:42:37

Protel 导入DXF文件,怎么看不到器件?

import DXF文件后,看不到结构器件,要怎么设置一下。谢谢。
2011-12-12 17:03:38

Proteus仿真用示波器看波形看不到0电平在哪里,请问如何设置可以显示0电平线?

Proteus仿真用示波器看波形看不到0电平在哪里,请问如何设置?
2017-01-18 19:53:08

Quartus16波形仿真提示缺少ModelSim文件

各位老师大家好,我在用Quartus16进行波形仿真的时候,遇到了这样的问题,提示缺少ModelSim文件,如图我的版本信息是各位老师能不能提点我一下,我实在不知如何解决。谢谢各位老师了先
2016-10-19 21:40:13

RTT的消息队列例程中看不到线程1是为什么?

  请问RTT的消息队列例程中看不到线程1(接收消息)是什么原因
2023-08-04 16:14:04

SVPWM扇区判断,我选择仿真0.05S居然看不到N,求大神指导

SVPWM扇区判断,我选择仿真0.05S居然看不到N,求大神指导。其中wk-0,仿真0.5s有数值,wk-2仿真0.05s没数值,参考仿真0.05s有数值。求指导!
2022-06-22 17:47:46

ad8015的输出阻抗50欧下看不到波形

请问adi的工程师,我用ad8015这颗芯片输出时接示波器,50欧下看不到波形,1m可以看到,但是他数据手册上不是输出阻抗是50欧吗,我的电路接法也是按照参考电路接法来的,求解????附件P%~C({)__[VQ4P9O7_KHCJ5.png30.9 KBD4$G0CQV(552PA[C$U[L3@X.png62.9 KB
2018-09-17 15:45:53

cam350导入allegro16.5光绘时丝印文字看不到,钻孔表也看不到

cam350导入allgro16.5光绘时丝印文字看不到,钻孔表也看不到
2014-11-20 17:31:44

pads软件下面菜单看不到

pads工具选项,下面菜单看不到,拉不下去
2019-03-22 07:35:01

php setcookie浏览器中看不到的问题有哪些?

php setcookie浏览器中看不到的问题
2020-06-19 14:59:29

place_BOUND_TOP的网格怎么看不到

place_BOUND_TOP的网格怎么看不到了 ,在哪里设置啊? 静态图层也看不到
2015-01-13 10:08:21

quartus ii13.0不能仿真,求解

我用的是quartus ii13.0,用自带的仿真没有输出结果,调用modelsim没有波形
2017-03-20 23:25:56

quartus用原理图描述后 功能仿真没有波形时序仿真波形正确

本人小白,最近自学FPGA,用的quartus和modelsim软件,主要功能同时产生两个一样的波形,想用原理图描述方式实现,但是在配置完原理图和tb文件后,时序仿真波形正确,功能仿真确实高阻态,求大神帮忙解释下!!原理图:时序仿真:功能仿真
2016-11-16 15:30:32

rom 的modelsim 仿真 问题 和 解决方法

modelsim才会正确的读取数据源头。不然会无法初始化数据,也就是读取不到原始数据源。(对于.hex文件的路径,最开始时我是 放在 modelsim 目录下,仿真得到了波形。但是 我把 .hex 文件 删掉后
2014-03-06 16:22:21

signaltap波形模拟出错

怎么回事? 补充内容 (2018-1-24 17:18): modelsim仿真是可以正常显示波形的,而且是正确的。`
2018-01-24 16:12:49

Modelsim常见问题】仿真不出波形波形窗口无内容

原因你的代码编写有误,或者你的仿真脚本(nativelink)设置有误,或者其他原因解决方法遇到这种问题,解决思路很简单,查看Modelsim仿真软件的Transcript窗口中的报告内容。这个窗口
2020-02-13 20:39:41

【工程源码】【Modelsim常见问题】不报错但一直显示Loading

本文和设计代码由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。Modelsim仿真时,不报错,也不出波形,一直显示Loading问题原因该问题的原因为Windows开启了防火墙,且对Modelsim实施了屏蔽。解决方法关闭Windows防火墙。
2020-02-22 14:42:51

串口看不到接收的数字是为什么?

串口看不到接收的数字是为什么?
2021-09-30 09:22:37

为什么pspice仿真波形和实际电路用示波器测得波形相差很多?

电路如下,我用面包板搭了一个和下面一样的电路,电路正常状态下仿真波形和示波器波形基本上是一致的,但是我制造一个断路故障,比如让R1R2断路,波形就差的多了。短路的方法在实际电路中加的有物理开关直接断开,pspice中直接把那个电阻删掉制造断路。波形不一样的原因是什么呢?
2018-05-07 10:13:00

为什么发表的帖子有些是看不到的呢!

为什么发表的帖子有些是看不到的呢!{:soso_e132:}求解
2011-09-29 15:16:08

为什么我看不到别人的回复啊?

我收到别人的回复消息提醒,但我打开后,又看不到别人的回复,这是什么回事啊?求解释。。。。。。
2013-03-06 22:37:14

为什么我画pcb板子的时候看不到线的轨迹 ?

`为什么我画pcb板子的时候看不到线的轨迹 `
2019-09-24 05:38:42

为什么用示波器观察晶振引脚的波形时,看不到波形或者波形失真了呢??

为什么用示波器观察晶振引脚的波形时,看不到波形或者波形失真了呢?难道200M的示波器还不能测10M的晶振吗?
2021-05-07 06:00:40

为什么示波器看不到完整波形

单稳态触发器仿真电路示波器为什么看不到完整波形下面那个小电路是用来测试的,同样的电路单独可以看到波形:但是放到单稳态触发器电路之后也看不到波形了请有经验的伙伴给我解释下原因,谢谢O(∩_∩)O。
2016-04-18 16:05:39

介绍一下波形仿真如何进行

在进行仿真时我们首先应该确定自己的仿真软件是否配置好了,如果仿真软件没有配置好,那么是没办法仿真的。仿真软件有Modelsim modelsim-Altera等。在quartus中配置仿真软件: 将
2022-01-19 08:05:42

关于波形图表的数据采集问题

我现在遇到一个问题,波形图表横坐标显示的坐标值随着数据采集的点的增多,无法保持从零开始,因为现在我使用的信号源板子上发给我数据的速度特别快,所以在内存允许的范围内是看不到总体的波形变化,请问各位大神版主有什么方法可以随着采集进来的点的增多,纵坐标可以不断压缩,而不是定期更换首尾坐标值。
2016-08-15 10:48:16

关于ip内核的modelsim仿真遇到问题

), .phase_in(phase_in));但是在添加波形结束后只有x_out 和y_out,我想看每个x_out对应的phasein和clk都看不到,不知道是哪里原因。PS:在仿真时我添加了unisims_ver、simprims_ver、xilinxcorelib_ver
2015-05-28 20:54:41

在OptionByte面板中看不到TZEN位是什么原因造成的

我有一块 STM32L562E-DK 板 (DK32L562E$AT3),当我使用 CubeProgrammer GUI 时,我在 OptionByte 面板中看不到 TZEN 位,也看不到
2022-12-16 07:14:46

在Proteus仿真中如何查看电流波形

在Proteus仿真中如何查看电流波形? 我用示波器只能查看电压波形,查看不了电流波形,过程具体详细点,不然看不
2023-04-26 16:45:44

怎么样让波形图显示更多的数据,求高手解答,急急急!!!!

大家,帮忙看看,怎么样让我的波形图,每次能显示的数据量多一点,而且我只能看到5秒的数据,之前的数据看不到,就是历史数据看不到。就是想请教你们看看,怎么设置波形
2015-09-14 14:19:26

怎么进行数字波形输出的显示

我用labview自带的范例有限数字输出,把输出改为自定义的数字波形输出如图所示。然后通过范例自带的有限数字输入想查看输出的波形,但是波形只显示出一个0,看不到完整的输出。跪求大神指点迷津。端口的接线是没有问题的。
2014-06-03 10:19:24

我这个哪里出问题了 仿真出来波形怎么看不到

``我这个哪里出问题了 仿真出来波形怎么看不到 做的8253``
2015-01-07 17:05:02

最实用的Modelsim使用教程

Workspace窗口内展开该库应该能看见这些文件,如果是没有编译过的文件在库内是看不见的。 建立仿真库的方法有两种。一种是在用户界面模式下,点File->New-&gt
2024-03-19 16:40:15

求助为什么ISE没有ISIM仿真选项

`大家好:如下图,我的工程ISIM仿真没有了,设置菜单的仿真也找不到ISIM的选项,都是modelsim。哪位知道为什么吗?`
2019-08-29 13:01:24

求助,modelsim仿真的时候没有波形

在quartus里运行没有错误,调用modelsim时不出波形`timescale 1 ns/ 1 nsmodule fp_prj_vlg_tst();// constants // general
2015-04-18 08:55:46

用ad8015输出时接示波器,50欧下看不到波形是为什么?

请问adi的工程师,我用ad8015这颗芯片输出时接示波器,50欧下看不到波形,1m可以看到,但是他数据手册上不是输出阻抗是50欧吗,我的电路接法也是按照参考电路接法来的,求解????
2023-11-22 07:38:08

请问为什么串口监视器看不到数据呢

为什么串口监视器看不到数据呢mega2560mpu6050模块
2020-05-28 05:55:07

请问按键怎么用Jlink仿真时,看不到PA0的电平变化

wk_up输入键,选择下拉输入,怎么用Jlink仿真时,看不到PA0的电平变化,但程序运行正常?求指教
2019-07-09 04:35:08

高频小信号放大电路仿真没有波形,请问是怎么回事?

高频小信号放大电路仿真没有波形,请问一下是怎么回事
2020-12-30 22:12:09

Quartus II与ModelSim功能仿真与后仿真扫盲

本文主要描述了如何在 QUARTUS II 中输入程序文件,生成网表及标准延时文件,然后通过MODELSIM 进行功能仿真与后仿真的过程,主要为图解,含全部代码及仿真波形
2009-07-22 15:44:530

为什么Allegro调入网络表后看不到元器件

为什么Allegro调入网络表后看不到元器件 1、调入网表后确实看不到器件,
2008-03-22 16:14:485062

#FPGA点拨 modelsim波形观看方法第1部分

fpga波形ModelSimModelSimSEModelsModelSim 6.5MODELSIM仿真ModelSim SEModelSim6.0SE
电子技术那些事儿发布于 2022-10-09 10:03:05

Modelsim百问(一)

第一章 1、 关于 Modelsim中库的编译 2、 如何在modelsim中指定Altera的仿真库 3、 Modelsim波形文件 4、 后仿真时,是不是要对复位信号GSR/GTR做特别特殊处理?为什么? 5、 功能仿真加STA能不
2011-05-26 15:48:050

识别磁环波形方法

电子发烧友为您提供了识别磁环波形方法,通过对图形的分析加深对磁环波形的认识。
2011-07-06 15:14:271038

为什么CAD填充后看不到填充?为什么标注箭头显示为空心?

为什么CAD填充后看不到填充?为什么标注箭头变成了空心? 有的时候填充时没有错误提示,但填充不显示,或者命名标注箭头选择的是实心箭头,但图中却显示为空心箭头。这都是因为
2012-10-24 08:39:392923

200M的示波器竟然测不了10M的晶振?

  为什么我用示波器观察晶振引脚的波形时,看不到波形或者波形失真了呢?难道200M的示波器还不能测10M的晶振吗?
2016-05-05 11:07:3011150

SPWM波形protues仿真程序 51单片机SPWM波形产生代

SPWM波形protues仿真程序 51单片机SPWM波形产生代码 protues仿真
2017-01-14 22:32:46273

Xilinx ISE是如何调用ModelSim进行仿真

在我们用ModelSim仿真的时候经常是修改一点一点修改代码,这样会造成一个无奈的操作循环:修改代码--->编译代码--->仿真设置--->进入仿真页面--->添加需要观察的波形--->运行仿真
2017-02-11 15:25:0710138

ISim/modelsim仿真波形窗口小技巧

  在编写verilog模块后,很重要的一部分就是对所编写的模块进行仿真。而在仿真过程中,很重要的一部分就是观察波形
2017-09-15 17:40:4313

modelsim保存波形操作方法解析

在数字电路设计中(Verilog or VHDL),ModelSim是常用的仿真工具。当我们仿真大型的工程时,往往需要保留仿真波形,以便分析和以后查看。这就需要学习在ModelSim里面如何保存仿真波形及调用波形
2017-11-24 11:13:2415022

modelsim显示模拟波形详解

虽然Modelsim的功能非常强大,仿真波形可以以多种形式进行显示,但是当涉及到数字信号处理的算法的仿真验证的时候,则显得有点不足。而进行数字信号处理是Matlab的强项,不但有大量的关于数字信号
2017-11-24 11:31:4143030

特斯拉的驾驶系统看不到消防车?

下自动行驶的半自动驾驶系统正越来越普及。这起事故没有造成人员伤亡,但却带来了技术问题:地球上最先进的驾驶系统为什么会看不到一辆消防车停在那?
2018-01-29 10:52:433681

怎样利用modelsim仿真波形图案来显示字符?

这个小工程利用modelsim仿真波形图案来显示字符。
2021-06-26 10:26:162776

探究Modelsim仿真--波形状态机名称显示

在通常的modelsim波形仿真中,状态机的显示为16进制,如 3‘h1。如下图所示str_cur为状态跳变信号。 为了更加直观的以文本形式显示状态机的跳变,如自己定义的IDLE等,我们可以
2021-07-23 15:04:121577

如何夹带modelsim仿真波形白底黑线

Modelsim使用技巧—波形白底黑线设置 在发表期刊或者论文时,我们需要夹带modelsim仿真波形在我们的论文里,在modelsim默认模式下的波形一般是黑底绿线白字,如图1所示。打印出来几乎
2021-08-26 11:23:123451

Vivado调用Questa Sim或ModelSim仿真小技巧

调用第三方仿真软件查看波形的过程中存在的一些问题。 1、添加新的观测信号需要重新仿真 Vivado直接调用Modelsim/QuestaSim进行仿真时,波形文件里默认只会出现仿真最顶层中包含
2021-09-02 10:12:067274

keil5软件仿真没有实时波形

当使用keil mdk5软件仿真没有实时波形(逻辑分析仪)这里感谢B站up@hezhijie157的帮助首先工程编译好,然后点击软件仿真。如果没有 实时波形,在view中点击 period windows update 即可...
2021-11-23 17:36:2217

示波器没有波形怎么调

本文主要详细介绍了示波器没有波形怎么调的方法步骤?
2022-01-29 15:45:0015169

VCD/FSDB/SHM/VPD波形dump的方法

仿真是IC设计不可或缺的重要步聚,其中仿真波形是debug的必要条件。今天简要介绍下一下几种波形文件VCD/FSDB /SHM/VPD的dump方法
2022-11-11 09:36:3312326

modelsim波形仿真的基本操作了解

在刚接触modelsim时,被其繁复的操作流程所困,一度只能依靠在quartus中修改代码编译后再重启modelsim,自动导入才能得到波形。这样的操作最大的问题就是修改代码的成本巨大。每次更新波形
2022-11-29 09:52:132374

SMT加工厂对焊盘翘起的解决方法

。焊盘翘起是SMT加工中比较容易的出现的问题,接下来为大家分享下焊盘翘起的解决方法。 焊盘翘起常见原因解决方法 发生焊盘翘起的原因有很多,因为焊盘的位置在元件下面,修理技术人员的视线盲区,在操作过程中因为看不到焊点,所以可能会试
2023-03-14 09:27:18508

modelsim自动化仿真实验 利用脚本实现modelsim自动化仿真

大家好!今天给大家带来的是modelsim自动化仿真程序。我们在代码编写完成时,通常都需要先进行仿真,然后上板实验。但是如果我们每次仿真都要去新建一个工程,添加.v文件以及testbench文件
2023-07-19 10:10:56941

已全部加载完成