0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>嵌入式技术>

嵌入式技术

权威的嵌入式系统、嵌入式技术和嵌入式开发频道,涉及嵌入式设计应用、单片机与SoC、编程语言及工具、嵌入式系统软硬件调试及优化、嵌入式操作系统等资讯和嵌入式技术方案,包括嵌入式接口/总线/驱动、嵌入式软件/开发板、实时应用开发、嵌入式联网技术、ARM等领域,是嵌入式工程师最好的学习平台。
Nginx日志分割方案

Nginx日志分割方案

nginx 默认没有提供对日志文件的分割功能,所以随着时间的增长,access.log 和 error.log 文件会越来越大,尤其是 access.log,其日志记录量比较大,更容易增长文件大小。影响日志写入性能,分割...

2023-06-19 标签:Linux命令日志nginx 268

一个能够通过端测AI检测设备异常振动的产品级开发项目

那我们作为嵌入式工程师为什么需要关注端侧AI而不是云端的AI和边缘的端的AI呢?这个是有原因的,首先第一个云端的AI呢,就是类似于那种像这个“小度小度”这类东西?比如说像这个“小度...

2023-06-19 标签:嵌入式AI边缘计算AI嵌入式检测设备边缘计算 541

无法拒绝,用ChatGPT+Python变现,赚了!

无法拒绝,用ChatGPT+Python变现,赚了!

可见其拥有远超常人的代码能力,工作效率远非一般人可比。不过在项目完成的过程中,依然要用自然语言对其不断下指令,这就需要使用者完全懂爬虫技术。...

2023-06-19 标签:pythonChatGPTChatGPTpython移动互联网自然语言 704

快手的短视频推荐算法(1)

快手的短视频推荐算法(1)

在本文中,我们建议部署一个短视频推荐框架, 在移动设备上解决这些问题。具体来说,我们设计并部署一个微型设备排名模型以启用实时服务器端推荐结果的重新排序。我们改进其预测准确性...

2023-06-19 标签:算法服务器服务器短视频算法 660

讨论linux PCI驱动的slides

讨论linux PCI驱动的slides

PCI:32 bit 总线,33 或 66 MHz。...

2023-06-19 标签:控制器寄存器适配器Linux驱动PCIe接口 406

Python还会保持第一吗?

Python还会保持第一吗?

Raku(前身为Perl 6)是一种通用的编程语言,旨在提供灵活性、可读性和可维护性。它于2000年开始设计,并于2019年正式发布。Raku是Perl语言家族的一部分,但与传统的Perl语言有很大不同,被视为...

2023-06-19 标签:JAVA编程语言python 333

Spring Boot如何优雅实现数据加密存储、模糊匹配和脱敏

Spring Boot如何优雅实现数据加密存储、模糊匹配和脱敏

近来我们都在围绕着使用Spring Boot开发业务系统时如何保证数据安全性这个主题展开总结,当下大部分的B/S架构的系统也都是基于Spring Boot + SpringMVC三层架构开发的...

2023-06-19 标签:Hash算法SpringMVC 1055

自适应滤波-LMS算法的FPGA实现

自适应滤波-LMS算法的FPGA实现

其实所有的数字滤波器都逃不过**延时加权求和**的六字真谛,但我们之前讨论的FIR滤波器,其截止频率等参数都是事先已经定好;如果事先已知有用信号与噪声信号的频率相差较远,用这种滤...

2023-06-19 标签:FPGA数字滤波器乘法器fir滤波器fir滤波器FPGALMS乘法器数字滤波器 1075

结构体成员变量的引用方法

结构体成员变量的引用方法

这里默认大家是了解结构体这种数据类型的,如果不了解可以参考往期相关文章,好了下面开始这次的内容分享...

2023-06-23 标签:代码数据类型结构体typedeftypedef代码成员变量数据类型结构体 3038

C语言结构体知识科普

C语言结构体知识科普

定义一个结构体的方法有很多,这里我用一种常用的方式来定义结构体。...

2023-06-23 标签:内存C语言变量结构体 260

手把手用Verilog实现FIR滤波器

手把手用Verilog实现FIR滤波器

首先需要把FIR最基本的结构实现,也就是每个FIR抽头的数据与其抽头系数相乘这个操作。由顶层文件对这个基本模块进行多次调用。...

2023-06-19 标签:转换器FPGA设计FIRfir滤波器python 2320

为保证数字电路时序裕量所做的努力

为保证数字电路时序裕量所做的努力

由于以太网测试使用的开发板是淘宝购买的某款开发板,开发人员在电路设计时没有考虑到将以太网芯片的接收时钟、发送时钟通过FPGA的专用时钟管脚接入到到全局时钟网络...

2023-06-19 标签:FPGA设计以太网寄存器C语言RGMII 611

AXI4-Lite协议简明学习笔记

AXI4-Lite协议简明学习笔记

AXI4协议是ARM的AMBA总线协议重要部分,ARM介绍AXI4总线协议是一种性能高,带宽高,延迟低的总线协议。...

2023-06-19 标签:FPGA寄存器AMBA总线上位机AMBA总线ARM7FPGA上位机上位机寄存器 2270

使用DDS将基带信号上变频

使用DDS将基带信号上变频

使用低通FIR将基带信号的旁瓣滤去,保留基带信号的主瓣。...

2023-06-19 标签:正弦波DDS信号处理器电磁波DDSFIR滤波信号处理器正弦波电磁波 1496

FIR IP核的基础功能使用总结

FIR IP核的基础功能使用总结

滤波器是信号处理技术绕不过的内容,而在BSPK的实现框图中,也需要用到滤波器。...

2023-06-19 标签:滤波器MATLAB仿真GUIFIR滤波Vivado 1737

嵌入式Linux入门(一、Linux基本介绍及文件结构)

嵌入式Linux入门(一、Linux基本介绍及文件结构)

本文就简单的说明一些必要的基本概念,以及介绍一下 Linux 的文件结构。...

2023-06-19 标签:嵌入式Linux程序MMU文件结构 2103

基于边界点优化和多步路径规划的机器人自主探索

基于边界点优化和多步路径规划的机器人自主探索

目标边界点的选择是有效探索的关键。以边界为基础的战略是由Yamauchi首先提出的。所使用的探索策略是识别当前地图中的所有边界区域,然后驱动机器人前往最近的边界点。这种方法对于探索...

2023-06-19 标签:机器人函数检测算法 292

为什么要同时存在m_sequencer和p_sequencer他们两个?

为什么要同时存在m_sequencer和p_sequencer他们两个?

在构建复杂的sequence序列的时候,我们经常会用到m_sequencer和p_sequencer,并且在很多资料中都提到两者实际指向的是同一个对象,那么为什么要同时存在他们两个,存在一个不就够用了吗?为此,...

2023-06-19 标签:UVMVerilog语言 757

Vscode嵌入式Linux远程开发设置

Vscode嵌入式Linux远程开发设置

最近搭了一台Ubuntu18.04版本的桌面PC,不得不说比起Window搭虚拟机搞起来爽多了,我的这台服务器是2017年买的,之前一直装的是Window系统。...

2023-06-19 标签:嵌入式LinuxUbuntu虚拟机vscode 83

有什么方案可以优雅的优化掉这些多余的if/else呢?

有什么方案可以优雅的优化掉这些多余的if/else呢?

前期迭代懒得优化,来一个需求,加一个if,久而久之,就串成了一座金字塔。...

2023-06-19 标签:C语言MAPcache技术 499

Linux进程间如何实现共享内存通信

在上面的例程中,我们首先使用ftok()函数生成一个key值作为共享内存的标识符。然后使用shmget()函数创建共享内存区域,shmaddr指向共享内存区域的起始地址。...

2023-06-19 标签:LinuxIPC共享内存 423

RISC-V vector intrinsic编程入门指南

本文是为了帮助开发者快速入门 risc-v 架构下vector 的 intrinsic 编程,首先介绍了risc-v vector extension 的特性和 intrinsic 编程常见的数据类型与指令接口命名,然后给出一个数组/向量相加的完整例程...

2023-06-19 标签:ARMC语言编程VectorRISC-V 2180

嵌入式多态原理详解

嵌入式多态原理详解

在我们的嵌入式 C 开发中经常会面对这样的一类需求:因为对接的设备支持的协议不同,自身的设备需要兼容这些协议,因此需要业务支持不同的协议解析方式。...

2023-06-19 标签:嵌入式C语言编程语言函数指针 293

优秀的Verilog/FPGA开源项目-MATH库介绍

数字信号处理( Digital Signal Processing)技术广泛地应用于通信与信息系统、信号与信息处理、自动控制、 雷达、军事、航空航天、医疗、家用电器等许多领域。...

2023-06-19 标签:dspFPGA数字信号处理器Verilog语言 1083

MSPM0L1306之迁移工程

MSPM0L1306之迁移工程

当我们想从官方的例程中扣出一个demo来作为自己的空工程时,难免要解决不少问题,接下来演示一遍解决迁移工程的问题。...

2023-06-18 标签:C++语言C++语言msp 648

分享一下关于Linux服务器开发中相关数据去重的做法

分享一下关于Linux服务器开发中相关数据去重的做法

在使用word文档时,word如何判断某个单词是否拼写正确?...

2023-06-18 标签:Linux系统AVLSTLHash算法 412

芯片设计进阶之路—Reset深入理解

芯片设计进阶之路—Reset深入理解

复位信号在数字电路里面的重要性仅次于时钟信号。对一个芯片来说,复位的主要目的是使芯片电路进入一个已知的,确定的状态。...

2023-06-18 标签:寄存器仿真器RTL数字电路触发器 2673

使用1点条形图显示简化电池电量计

使用1点条形图显示简化电池电量计

随着嵌入式系统,尤其是物联网设备的快速发展,人们越来越需要改进为其供电的可充电电池系统。特别是,许多应用可以受益于具有成本效益但准确的电池电量计。虽然大多数汽车、医疗和军...

2023-06-24 标签:嵌入式系统电池管理系统电量计 403

增益提高运放中辅助运放带宽如何设计

增益提高运放中辅助运放带宽如何设计

在模拟集成电路中,常通过两种方式实现高增益运放,即增益提高运放(Gain-Boosted)和两级运放。...

2023-06-18 标签:运放电路晶体管负载电容晶体管负载电容运放器运放电路 1836

积分器和采样保持器中单极和两级运放环路带宽分析

积分器和采样保持器中单极和两级运放环路带宽分析

积分器和采样保持器(S/H)是数模混合集成电路中一个关键的模块,常被用来进行信号采样或积分。...

2023-06-18 标签:积分器运放器负载电容 1664

编辑推荐厂商产品技术软件/工具OS/语言教程专题