0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

新思科技

文章:462 被阅读:52.8w 粉丝数:9 关注数:0 点赞数:3

广告

如何用光电子技术制造出小巧且高效的RGB激光器推动AR眼镜的发展?

你试过用App在家里虚拟摆放家具来看效果吗?用过可以改变自己形象的App吗?玩过曾经风靡一时的游戏《....
的头像 新思科技 发表于 04-22 18:23 635次阅读

新思科技硬件加速解决方案技术日在成都和西安站成功举办

近日,【新思科技技术日】硬件加速验证解决方案专场成都站和西安站顺利举行,来自国内领先的系统级公司、芯....
的头像 新思科技 发表于 04-19 17:35 150次阅读

颇有前景的半导体替代材料:SiC和GaN适用范围及优缺点介绍

自1954年以来,硅一直是先进技术发展的重要基石。人们普遍认为,硅作为电子元件基础结构核心材料的地位....
的头像 新思科技 发表于 04-17 16:11 289次阅读

瑞萨采用了新思科技集成了AI和ML技术的验证空间优化技术—VSO.ai

自动驾驶技术的进步有目共睹。5G网络和人工智能(AI)等技术的融合,使得自动驾驶汽车的性能更胜从前,....
的头像 新思科技 发表于 04-16 11:13 204次阅读
瑞萨采用了新思科技集成了AI和ML技术的验证空间优化技术—VSO.ai

当CPU算力趋近极限,GPU能否替代CPU满足数字芯片设计的算力需求?

就数字设计实现而言,RTL-to-GDSII流程中的每一步都涉及海量计算。在SoC级别,开发者需要评....
的头像 新思科技 发表于 04-10 17:19 398次阅读

新思科技收购Intrinsic ID,持续拓展全球领先的半导体IP产品组合

新思科技(Synopsys)近日宣布完成对Intrinsic ID的收购,后者是用于系统级芯片(So....
的头像 新思科技 发表于 04-01 17:11 193次阅读

新思科技如何助力RISC-V SoCs性能“超级加倍”?

近日,新思科技作为玄铁的重要生态合作伙伴,受邀参加了2024玄铁RISC-V生态大会。与众多合作伙伴....
的头像 新思科技 发表于 03-28 10:38 190次阅读

超级跑车的车灯从最初的设计理念到最终的产品实现是怎样一个过程?

超级跑车车灯设计是科技与艺术的完美结合,充分体现了设计的独特魅力和卓越性能。
的头像 新思科技 发表于 03-26 17:19 769次阅读
超级跑车的车灯从最初的设计理念到最终的产品实现是怎样一个过程?

2024年科技爆点:GenAI加入芯片设计与验证

Gartner 2023 年新兴技术成熟度曲线显示,生成式AI(GenAI)在不到一年的时间里就达到....
的头像 新思科技 发表于 03-26 10:36 195次阅读
2024年科技爆点:GenAI加入芯片设计与验证

新思科技携手英伟达,全新AI解决方案引领万物智能时代

新思科技(Synopsys)今日在硅谷圣克拉拉会议中心隆重召开了年度“新思科技全球用户大会(SNUG....
的头像 新思科技 发表于 03-22 10:34 165次阅读

新思科技正式推出业界首个1.6T以太网IP整体解决方案

新思科技1.6T以太网IP整体解决方案现已上市并被多家客户用,与现有实现方案相比,其互连功耗最多可降....
的头像 新思科技 发表于 03-19 10:23 146次阅读

创新型全芯片LVS检查工具:加速验证流程,提升设计效率

随着片上系统(SoC)设计领域的不断发展,设计的复杂性与芯片技术的持续进步紧密交织,共同推动着电子设....
的头像 新思科技 发表于 03-12 11:09 313次阅读
创新型全芯片LVS检查工具:加速验证流程,提升设计效率

新思科技携手英特尔加速Intel 18A工艺下高性能芯片设计

新思科技数字和模拟 EDA 流程经过认证和优化,针对Intel 18A工艺实现功耗、性能和面积目标
的头像 新思科技 发表于 03-05 17:23 279次阅读

新思科技助力业界首个CXL 2.0数据交换芯片首次流片即成功

AI聊天机器人回答复杂问题十分迅速、智能手机能播放高清视频不卡顿的核心,毋庸置疑是带宽的不断提高和数....
的头像 新思科技 发表于 03-04 18:17 496次阅读

新思科技VC LP Advanced静态低功耗验证解决方案

对于当今的移动高性能系统而言,低功耗设计至关重要。低功耗设计能够延长电池续航时间、降低能源成本,为消....
的头像 新思科技 发表于 02-22 09:56 292次阅读
新思科技VC LP Advanced静态低功耗验证解决方案

新思科技携手英飞凌破解智能汽车密码

人工智能(AI)正在许多行业掀起波澜,汽车行业也不例外。当今的汽车比以往更加智能和互联,而人工智能是....
的头像 新思科技 发表于 02-20 18:18 1332次阅读

AI加入软件会更安全吗

“变化即常态”是技术领域的主旋律。随着新技术的飞速发展,软件安全的复杂性也在不断增加,不法分子总是能....
的头像 新思科技 发表于 01-25 09:40 305次阅读

X态传播在低功耗验证中的作用

随着科技的发展和智能化设备的普及,我们对于高效能、低功耗的半导体设备需求愈加强烈,对低功耗仿真的需求....
的头像 新思科技 发表于 01-24 09:34 185次阅读
X态传播在低功耗验证中的作用

功耗优化已经成为SoC设计成功与否的关键因素了吗?

片上系统(SoC)的低功耗设计方法这几年已经发生了翻天覆地的变化。从简单的时钟门控和电压调节,到今天....
的头像 新思科技 发表于 01-22 17:10 176次阅读

纯低功耗蓝牙技术发展势头强劲

今天要跟大家讨论的是低功耗蓝牙技术(BLE)。就字面意思来说,与经典的蓝牙技术相比,低功耗蓝牙技术胜....
的头像 新思科技 发表于 01-20 10:32 866次阅读

新思科技计划收购Ansys强化从芯片到系统设计全球领导地位

芯片设计技术的领导者与仿真分析技术的领导者强强联合,在人工智能的强力驱动下,满足合作伙伴在电路与物理....
的头像 新思科技 发表于 01-17 09:46 711次阅读

新思科技携手AWS加速软件定义汽车的验证

流媒体视频、声控操作、功能多样化的APP......以前属于智能手机的功能,在软件定义汽车(SDV)....
的头像 新思科技 发表于 01-17 09:15 387次阅读

关于2024年Multi-Die系统设计的四个重要预测

ChatGPT等应用作为生活中不可或缺的工具,需要海量数据才能维持正常运转。
的头像 新思科技 发表于 01-11 09:29 295次阅读

芯片开发者的生产力该如何提升

2023年,电子行业取得了多项关键成果:芯片设计的创新范围进一步扩大,再创业界新高;不同行业对芯片的....
的头像 新思科技 发表于 01-08 18:09 553次阅读

从数月到几小时,这枚Multi-Die系统芯片是如何快速交付的?

软件已成为当今电子系统中不可或缺的组成部分。从虚拟现实(VR)头显,到高等级自动驾驶汽车,这些由软件....
的头像 新思科技 发表于 12-26 17:53 398次阅读

AI在半导体器件生产测试中的应用

“时间就是金钱”这句话在半导体器件的生产测试中尤为贴切。
的头像 新思科技 发表于 12-25 17:21 330次阅读
AI在半导体器件生产测试中的应用

什么是激光雷达?LiDAR系统的工作原理及解决方案

激光雷达(LiDAR)是光探测与测距(Light Detection and Ranging)技术的....
的头像 新思科技 发表于 12-21 10:39 598次阅读
什么是激光雷达?LiDAR系统的工作原理及解决方案

如何轻松搞定高性能Multi-Die系统?

2D芯片设计中通常为二阶或三阶的效应,在Multi-Die系统中升级为主要效应。
的头像 新思科技 发表于 12-19 17:24 270次阅读

LPDDR5X来袭!准备迎接内存速度大爆炸!

如今,智能、互联和带宽密集型应用依赖于超快、低延迟的内存访问,以实现我们日常生活所依赖的一系列功能。....
的头像 新思科技 发表于 12-18 17:19 924次阅读
LPDDR5X来袭!准备迎接内存速度大爆炸!

埃米级芯片:拓展摩尔定律 打破性能瓶颈

埃米是一种非常小的度量单位,相当于一米的百亿分之一。它通常用于表示原子和分子的尺寸。
的头像 新思科技 发表于 12-13 17:38 442次阅读