0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

FPGA学习交流

文章:122 被阅读:134.4w 粉丝数:425 关注数:1 点赞数:165

本专栏专注于FPGA的学习交流,更新关于FPGA学习的相关知识点以及相关学习资料。

广告

简谈PCB设计软件对比

大家好,又到了每日学习的时间了,今天咱们来聊一聊PCB设计软件。 一、原理图软件 原理图设计软件:会....
的头像 FPGA学习交流 发表于 06-20 10:41 4847次阅读

如何操作SDRAM的自刷新命令而不影响正常读写操作?

问:如何操作SDRAM的自刷新命令而不影响正常读写操作? 众所周知,SDRAM从开始工作伊始,一直伴....
的头像 FPGA学习交流 发表于 06-20 10:41 10557次阅读
如何操作SDRAM的自刷新命令而不影响正常读写操作?

基于ROM的任意波形发生器(DDS)

设计背景: DDS(Direct Digital Synthesizer)直接数字式频率合成器,是一....
的头像 FPGA学习交流 发表于 06-18 19:24 16641次阅读
基于ROM的任意波形发生器(DDS)

FPGA学习系列:18. 数码管的设计

设计背景: 数码管是一种半导体发光 器件 ,其基本单元是发光二极管。 数码管在我们的许多设计中都又用....
的头像 FPGA学习交流 发表于 06-18 19:24 9083次阅读
FPGA学习系列:18. 数码管的设计

FPGA学习系列:19. rom到数码管显示设计

设计背景: rom是读写的的静态存储单元,在我们的设计中我们会经常用到,数码管模块同样的大大小小的设....
的头像 FPGA学习交流 发表于 06-18 19:24 6352次阅读
FPGA学习系列:19. rom到数码管显示设计

FPGA学习系列:20. ram控制器的设计(调用IP核)

设计背景: 随机存取存储器 (random access memory,RAM)又称作随机存储器,是....
的头像 FPGA学习交流 发表于 06-18 19:24 8278次阅读
FPGA学习系列:20. ram控制器的设计(调用IP核)

简谈FPGA的上电复位

大家好,博主最近有事忙了几天,没有更新,今天正式回来了。那么又到了每日学习的时间了,今天咱们来聊一聊....
的头像 FPGA学习交流 发表于 06-18 19:24 19928次阅读
简谈FPGA的上电复位

补充: FPGA产生基于LFSR的伪随机数

大家好,又到了每日学习的时间了,上一篇《荐读:基于FPGA 的CRC校验码生成器》文中,提到了要实现....
的头像 FPGA学习交流 发表于 06-13 11:21 7410次阅读
补充: FPGA产生基于LFSR的伪随机数

FPGA学习系列:13. 任意分频器设计

设计背景: 分频在 fpga的设计中一直都担任着很重要的角色,而说到分频,我相信很多人都已经想到了利....
的头像 FPGA学习交流 发表于 06-13 11:21 12436次阅读
FPGA学习系列:13. 任意分频器设计

FPGA学习系列:14. 锁相环pll设计

设计背景: 在我们设计工程中我们会用到100M,500M等时钟,如果我们的晶振达不到我们就需要倍频,....
的头像 FPGA学习交流 发表于 06-13 11:21 16433次阅读
FPGA学习系列:14. 锁相环pll设计

FPGA学习系列:15. 呼吸灯(pwm)设计

设计背景: 呼吸灯 广泛应用于手机之上,并成为各大品牌新款手机的卖点之一。如果手机里面有未处理的通知....
的头像 FPGA学习交流 发表于 06-13 11:21 19467次阅读
FPGA学习系列:15. 呼吸灯(pwm)设计

FPGA学习系列:16. rom控制器设计

设计背景: ROM是只读存储器(Read-Only Memory)的简称,是一种只能读出事先所存数据....
的头像 FPGA学习交流 发表于 06-13 11:21 5221次阅读
FPGA学习系列:16. rom控制器设计

FPGA学习系列:12. 边沿检测设计

设计背景: 在我们工程设计中,有时会需要到上升沿和下降沿这么一个说法,通过上升沿和下降沿来驱动一个电....
的头像 FPGA学习交流 发表于 06-13 11:20 5192次阅读
FPGA学习系列:12. 边沿检测设计

荐读:基于FPGA 的CRC校验码生成器

大家好,又到了每日学习的时间了,今天我们来聊一聊基于FPGA 的CRC校验码生成器。下面咱们就来具体....
的头像 FPGA学习交流 发表于 06-13 11:18 6254次阅读
荐读:基于FPGA 的CRC校验码生成器

几幅图弄清DFT、DTFT和DFS的关系

大家好,又到了每日学习的时间了,今天咱们来聊一聊数字信号处理中DFT、DTFT和DFS的关系,咱们通....
的头像 FPGA学习交流 发表于 06-11 15:15 11332次阅读
几幅图弄清DFT、DTFT和DFS的关系

荐读:如何学习FPGA

大家好。又到了每日学习的时候了,近期很多人问我该如何去学FPGA,那么今天咱们就来聊一聊。 一、入门....
的头像 FPGA学习交流 发表于 06-11 15:15 4109次阅读

C语言包括哪些东西?哪些部分重要,哪些部分需要着重理解?

大家好,又到了每日学习时间了,学习过 verilog HDL 的各位都知道其和C语言有点类似,那今天....
的头像 FPGA学习交流 发表于 06-11 15:15 5129次阅读

推荐:如何看懂电路原理图

大家好,又到了每日学习的时间了,今天我们来聊一聊如何去看懂电路原理图。 电器修理、电路设计都是要通过....
的头像 FPGA学习交流 发表于 06-11 15:15 11173次阅读

如何区分同步复位和异步复位?

问:如何区分同步复位和异步复位?可以理解为同步复位是作用于状态,然后通过状态来驱动电路复位的吗(这样....
的头像 FPGA学习交流 发表于 06-11 15:15 6453次阅读

FPGA设计中的RAM的两种实现方法

大家好,又到了每日学习的时间了,今天我们来聊一聊在FPGA设计中RAM的两种使用方法,RAM是用来在....
的头像 FPGA学习交流 发表于 06-08 11:30 19615次阅读

基于FPGA vivado 17.2 的数字钟设计

基于FPGA vivado 17.2 的数字钟设计
的头像 FPGA学习交流 发表于 06-08 09:41 10249次阅读
基于FPGA vivado 17.2 的数字钟设计

基于matlab FPGA verilog的FIR滤波器设计

本例程实现8阶滤波器,9个系数,由于系数的对称性,h(0)=h(8),h1(1)=h(7),h(2)....
的头像 FPGA学习交流 发表于 06-08 09:41 12000次阅读
基于matlab FPGA verilog的FIR滤波器设计

FPGA学习系列:11. 按键消抖设计

设计背景:在我们的工程设计中我们会或多或少的用到开关,开关分为好多种,不管是哪一种开关在按下还是抬起都会有轻微
的头像 FPGA学习交流 发表于 06-07 13:51 9566次阅读
FPGA学习系列:11. 按键消抖设计

噪声系数与噪声因子

为了衡量电子系统的噪声性能,需要引入噪声因子F(Noise Factor)和噪声系数NF(Noise Figu
的头像 FPGA学习交流 发表于 06-07 13:51 8151次阅读
噪声系数与噪声因子

Vivado防止信号被综合掉的三种方法

1、 信号前面将keep  hierarchy选择YES ,或者选择soft(在综合时保持层次),这样有利于你
的头像 FPGA学习交流 发表于 06-01 16:59 12411次阅读
Vivado防止信号被综合掉的三种方法

FPGA学习系列:9.简单状态机设计

设计背景: 状态机是描述各种复杂时序的时序行为,是使用 HDL进行数学逻辑设计中非常重要的方法之一,....
的头像 FPGA学习交流 发表于 06-01 16:59 7006次阅读
FPGA学习系列:9.简单状态机设计

FPGA学习系列:if-else与case

设计背景:不管是在什么软件和硬件语言,我们在我们的代码中都或多或少的用到这两条语句,if..else与case
的头像 FPGA学习交流 发表于 06-01 16:59 10627次阅读
FPGA学习系列:if-else与case

FPGA学习系列:2. 工程project的建立

上一篇说到了软件的安装以及破解,还有附带的网盘里的软件安装包都分享给大家了。这一篇咱们就来说一说FP....
的头像 FPGA学习交流 发表于 05-31 11:40 13729次阅读
FPGA学习系列:2. 工程project的建立

FPGA学习系列:二选一数据选择器的设计

前两篇给大家介绍了软件的安装、破解以及工程的建立等基本的软件操作,这一篇就通过简单的二选一的数据选择....
的头像 FPGA学习交流 发表于 05-31 11:40 29583次阅读
FPGA学习系列:二选一数据选择器的设计

FPGA学习系列:仿真测试文件的编写

之前有一篇文章介绍过仿真测试文件编写的步骤: 1.给A模块写测试,其测试模块的模块名为A_tb,比如....
的头像 FPGA学习交流 发表于 05-31 11:40 25019次阅读
FPGA学习系列:仿真测试文件的编写