0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

英特尔公布三项全新技术 将为芯片产品架构开启一个全新维度

半导体动态 来源:工程师吴畏 2019-07-11 16:01 次阅读

在本周于旧金山举办的SEMICON West大会上,英特尔的工程技术专家们介绍了英特尔先进封装技术的最新信息,并推出了一系列全新基础工具,包括将EMIB和Foveros技术相结合的创新应用,以及全新的全方位互连(ODI, Omni-Directional Interconnect)技术。英特尔的全新封装技术将与其世界级制程工艺相结合,助力客户释放创新力,走向计算新时代。

英特尔公司集团副总裁兼封装测试技术开发部门总经理Babak Sabi表示:“我们的愿景是利用先进技术将芯片和小芯片封装在一起,达到单晶片系统级芯片的性能。异构集成技术为我们的芯片架构师提供了前所未有的灵活性,使之能够在新的多元化模块中将各种IP和制程技术与不同的内存和I/O单元混搭起来。英特尔的垂直集成结构在异构集成的时代独具优势,它赋予了我们无与伦比的强大能力,让我们能够对架构、制程和封装同时进行优化,从而交付领先的产品。”

芯片封装在电子供应链中看似不起眼,却一直发挥关键作用。作为处理器和主板之间的物理接口,封装为芯片的电信号和电源提供了一个着陆区。随着电子行业正在迈向以数据为中心的时代,先进封装将比过去发挥更重大的作用。

封装不仅仅是制造过程的最后一步,它正在成为产品创新的催化剂。先进的封装技术能够集成多种制程工艺的计算引擎,实现类似于单晶片的性能,但其平台范围远远超过单晶片集成的晶片尺寸限制。这些技术将大大提高产品级性能和功效,缩小面积,同时对系统架构进行全面改造。

作为先进封装技术的领导者,英特尔能够同时提供2D和3D封装技术。在SEMICON West大会上,英特尔分享了三项全新技术,将为芯片产品架构开启一个全新维度。

Co-EMIB:英特尔的EMIB(嵌入式多芯片互连桥接)2D封装 和 Foveros 3D封装技术利用高密度的互连技术,实现高带宽、低功耗,并实现相当有竞争力的I/O密度。而英特尔的全新Co-EMIB技术能将更高的计算性能和能力连接起来。Co-EMIB能够让两个或多个Foveros元件互连,基本达到单晶片性能。设计师们还能够以非常高的带宽和非常低的功耗连接模拟器、内存和其他模块。

ODI:英特尔的全新全方位互连技术(ODI)为封装中小芯片之间的全方位互连通信提供了更大的灵活性。顶部芯片可以像EMIB技术下一样与其他小芯片进行水平通信,同时还可以像Foveros技术下一样,通过硅通孔(TSV)与下面的底部裸片进行垂直通信。ODI利用大的垂直通孔直接从封装基板向顶部裸片供电,这种大通孔比传统的硅通孔大得多,其电阻更低,因而可提供更稳定的电力传输,同时通过堆叠实现更高带宽和更低时延。同时,这种方法减少了基底晶片中所需的硅通孔数量,为有源晶体管释放了更多的面积,并优化了裸片的尺寸。

MDIO:基于其高级接口总线(AIB)物理层互连技术,英特尔发布了一项名为MDIO的全新裸片间接口技术。MDIO技术支持对小芯片IP模块库的模块化系统设计,能够提供更高能效,实现AIB技术两倍以上的响应速度和带宽密度。

这些全新技术共同扩充了英特尔强大的工具箱。它们将与英特尔的制程技术相结合,成为芯片架构师的创意调色板,让他们能够自由设计出创新产品。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 芯片
    +关注

    关注

    445

    文章

    47292

    浏览量

    407603
  • 英特尔
    +关注

    关注

    60

    文章

    9257

    浏览量

    168272
  • 封装
    +关注

    关注

    122

    文章

    7027

    浏览量

    140837
收藏 人收藏

    评论

    相关推荐

    英特尔酷睿Ultra通过全新英特尔vPro平台将AI PC惠及企业

    近日,英特尔在2024年世界移动通信大会(MWC 2024)上宣布,全新英特尔®vPro®平台将AI PC的优势惠及商用客户。
    的头像 发表于 03-18 15:07 104次阅读

    英特尔推出全新软件定义汽车架构方案

    英特尔汽车事业部近期推出卓越性能与超高效率的全新软件定义汽车(SDV)架构方案。这一创新方案不仅满足了汽车行业对高性能计算平台的需求,更为汽车用户带来了99%的高效率和零延迟的卓越体验。
    的头像 发表于 03-16 09:31 213次阅读

    英特尔:2025年全球AIPC将超1亿台占比20%

    英特尔行业资讯
    北京中科同志科技股份有限公司
    发布于 :2024年02月29日 09:15:26

    英特尔1nm投产时间曝光!领先于台积电

    英特尔行业芯事
    深圳市浮思特科技有限公司
    发布于 :2024年02月28日 16:28:32

    Cirrus Logic与英特尔和微软在全新的PC参考设计上进行合作

    Cirrus Logic 近日与英特尔和微软在全新的PC参考设计上进行合作。该设计将采用Cirrus Logic的高性能音频和电源技术以及英特尔即将推出的代码为Lunar Lake的客
    的头像 发表于 02-27 13:49 152次阅读

    新技术英特尔于IFS Direct Connect会议上公布3D芯片技术、逻辑单元、背面供电等未来代工技术

    芯片技术。这些进步包括更密集的逻辑以及内部连接性增加16倍的3D堆叠芯片,它们将是该公司与其他公司的芯片架构师共享的首批高端
    的头像 发表于 02-25 10:22 133次阅读
    最<b class='flag-5'>新技术</b>!<b class='flag-5'>英特尔</b>于IFS Direct Connect会议上<b class='flag-5'>公布</b>3D<b class='flag-5'>芯片</b><b class='flag-5'>技术</b>、逻辑单元、背面供电等未来代工<b class='flag-5'>技术</b>!

    英特尔登顶2023年全球半导体榜单之首

    英特尔行业芯事
    深圳市浮思特科技有限公司
    发布于 :2024年02月01日 11:55:16

    英特尔与DigitalBridge成立全新独立公司Articul8 AI

    全球领先的半导体技术公司英特尔今日宣布了一项具有划时代意义的合作:与数字资产管理领域的翘楚DigitalBridge Group,以及一众投资伙伴共同创建了一家全新的独立AI公司——Articul8 AI。
    的头像 发表于 01-05 15:50 192次阅读

    英特尔有望于2024年领先芯片制造竞争对手

    近五年来,英特尔在高级芯片制造领域落后于台积电和三星。如今,为重新赢得领先地位,英特尔正大胆而冒险地引入两项全新技术,即新型晶体管技术和首创
    的头像 发表于 12-19 11:58 277次阅读
    <b class='flag-5'>英特尔</b>有望于2024年领先<b class='flag-5'>芯片</b>制造竞争对手

    星辰天合发布全新一代全闪分布式存储,英特尔® QAT及英特尔® DSA助其提供非凡性能

    ◇ 近日,英特尔的合作伙伴北京市星辰天合科技股份有限公司(简称:XSKY 星辰天合)举办了主题为“星星之火”的 XSKY 星海全闪架构暨星飞存储发布会,发布了分布式全闪架构“星海(XSEA
    的头像 发表于 11-24 20:00 366次阅读
    星辰天合发布<b class='flag-5'>全新</b>一代全闪分布式存储,<b class='flag-5'>英特尔</b>® QAT及<b class='flag-5'>英特尔</b>® DSA助其提供非凡性能

    #高通 #英特尔 #Elite 高通X Elite芯片或终结苹果、英特尔芯片王朝

    高通英特尔苹果
    深圳市浮思特科技有限公司
    发布于 :2023年10月27日 16:46:07

    英特尔锐炫显卡DX11性能更新,并推出全新英特尔PresentMon Beta

    英特尔锐炫正式推出DirectX 11驱动更新,为PC游戏玩家带来更强劲性能,同时发布全新工具帮助发烧友和游戏社区更好地衡量和评估系统性能。准备好一起进入极客世界吧! 去年英特尔锐炫台式机产品
    的头像 发表于 08-19 11:10 393次阅读
    <b class='flag-5'>英特尔</b>锐炫显卡DX11性能更新,并推出<b class='flag-5'>全新</b><b class='flag-5'>英特尔</b>PresentMon Beta

    英特尔媒体加速器参考软件Linux版用户指南

    英特尔媒体加速器参考软件是用于数字标志、交互式白板(IWBs)和亭位使用模型的参考媒体播放器应用软件,它利用固定功能硬件加速来提高媒体流速、改进工作量平衡和资源利用,以及定制的图形处理股(GPU)管道解决方案。该用户指南将介绍和解释如何为Linux* 使用英特尔媒体加速器
    发表于 08-04 06:34

    英特尔全新16nm制程工艺有何优势

    英特尔独立运作代工部门IFS后,将向三方开放芯片制造加工服务,可能是为了吸引客户,英特尔日前发布了全新的16nm制程工艺。
    的头像 发表于 07-15 11:32 746次阅读

    离量子计算机又进一步!英特尔发布全新硅自旋量子比特芯片Tunnel Falls

    今天,英特尔发布包含12个硅自旋量子比特(silicon spin qubit)的全新量子芯片Tunnel Falls,继续探索量子实用性,以解决重大难题。Tunnel Falls是英特尔
    的头像 发表于 06-17 10:15 412次阅读
    离量子计算机又进一步!<b class='flag-5'>英特尔</b>发布<b class='flag-5'>全新</b>硅自旋量子比特<b class='flag-5'>芯片</b>Tunnel Falls